KR20050112125A - 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법 - Google Patents

가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법 Download PDF

Info

Publication number
KR20050112125A
KR20050112125A KR1020057019187A KR20057019187A KR20050112125A KR 20050112125 A KR20050112125 A KR 20050112125A KR 1020057019187 A KR1020057019187 A KR 1020057019187A KR 20057019187 A KR20057019187 A KR 20057019187A KR 20050112125 A KR20050112125 A KR 20050112125A
Authority
KR
South Korea
Prior art keywords
gas
etch
phase
etching
protective layer
Prior art date
Application number
KR1020057019187A
Other languages
English (en)
Other versions
KR101083623B1 (ko
Inventor
에릭 허드슨
제임스 브이 티츠
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20050112125A publication Critical patent/KR20050112125A/ko
Application granted granted Critical
Publication of KR101083623B1 publication Critical patent/KR101083623B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 상의 층을 에칭하는 방법이 제공된다. 가스 조절 순환 처리가 4 이상의 순환동안 수행된다. 각 순환은, 적층 가스 화학물질을 가진 제 1 가스 화학물질을 사용하여, 각 순환동안 약 0.0055 내지 7 초 내에 수행되는, 보호층 형성상을 수행하는 단계, 및 반응 에칭 가스 화학물질을 사용하는 제 2 가스 화학물질을 사용하여, 각 순환동안 약 0.005 내지 14 초 내에 수행되는, 에칭 마스크를 통해 특징형상에 대한 에칭상을 수행하는 단계를 포함한다. 보호층 형성상은 적층 가스를 제공하는 단계 및 적층 가스로부터 플라즈마를 형성하는 단계를 포함한다. 각 에칭상은 반응 에칭 가스를 제공하는 단계 및 반응 에칭 가스로부터 플라즈마를 형성하는 단계를 포함한다.

Description

가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭 방법{METHOD FOR PLASMA ETCHING USING PERIODIC MODULATION OF GAS CHEMISTRY}
발명의 배경
1. 발명의 분야
본 발명은 플라즈마를 사용하여, 포토레지스트 마스크, 하드 마스크 또는 적층된 마스크와 같은 마스크에 의해 규정되는 구조를 통해 에칭함으로써 반도체 웨이퍼 상의 구조를 얻는 방법에 관한 것이다.
2. 관련 기술의 설명
반도체 플라즈마 에칭 애플리케이션에서, 마스크 패턴을 회로에 전달하고, 소망하는 박막 및/또는 막적층체 (도체 또는 유전 절연체) 를 웨이퍼 상에 전달하는데 일반적으로 플라즈마 에천트가 사용된다. 이것은, 마스크 패턴의 개방된 영역에서 포토레지스트 재료 아래의 막 (및 막적층체) 을 에칭함으로써 달성된다. 이러한 에칭 반응은, 반응기 또는 처리 챔버로도 불리는 진공 인클로저 (enclosure) 내에 포함된 반응 혼합물에서 전기적 방전을 여기시킴으로써 생성되는 화학적 활성종 및 전기적으로 하전된 입자들 (이온들) 에 의해 개시될 수도 있다. 또한, 이온은 가스 혼합물과 웨이퍼 재료 사이에 생성된 전기장을 통해 웨이퍼 재료 쪽으로 가속되어, 이온 궤적의 방향을 따라 비등방성 에칭으로 불리는 방식으로 에칭 재료의 지향성 제거를 생성할 수도 있다. 에칭 시퀀스의 종료시에, 마스크 재료는 박리됨으로써 제거되어, 그 위치에, 최초 의도되었던 마스크 패턴의 측면 패턴의 복사본을 남긴다. 이러한 에칭 방법이 도 1a 내지 1c 에 도시되어 있다. 이러한 방법에서는, 도 1a 에 도시된 바와 같이, 포토레지스트 마스크 패턴 (104) 을 아래에 위치한 산화 유전 박막 (108) 으로 직접 전달하는데 플라즈마 에칭 처리가 사용된다. 에칭은 도 1b 에 도시된 바와 같이, 콘택트 홀 (112) 을 생성하고, 포토레지스트 (104) 를 부식시키고 손상시킨다. 그 후, 도 1c 에 도시된 바와 같이, 포토레지스트가 제거되어 산화물 (108) 에 콘택트 홀 (112) 을 남긴다. 에칭 처리 동안, 마스크 재료는 일반적으로 패턴 전달을 위한 교환에서 부식 및/또는 손상된다. 그 결과, 또한 손상 및 부식의 일부가 아래에 위치한 층에 전달되어, 스트리에이션 (striation), CD 확대, 패시팅 (faceting) 등과 같은 원하지 않는 패턴 왜곡을 남긴다.
따라서, 에칭 방법론의 목적은 마스크 부식을 감소시켜, 마스크 패턴으로부터 패턴 전달의 신뢰도를 강화하는 것을 포함한다. 이러한 목적으로, 반응 에칭 혼합물에 패시베이션 (passivation) 가스를 포함하는 것이 제안되었다. 이러한 패시베이션 가스는, 그 존재가 에칭될 박막 재료의 제거 속도에 대해 마스크 재료의 에칭 손상 및 부식을 선택적으로 감소시키는 방식으로 선택될 수 있다. 패시베이션 가스는, 에칭 지연 코딩이 마스크 재료의 표면 상에 생성되어, 에칭 반응을 감속시키는 장애물로서 작용하는 방식으로 선택될 수 있다. 설계에 의해, 패시베이션 가스는, 추가적으로 이익이 되도록 에칭될 막 구조의 수직 표면 상에 에칭 지연 코딩을 형성하여, 이온 충격이 없을 때에는 에칭 반응이 진행할 수 없는 방식으로 선택된다. 하전된 입자들의 수직 궤적의 성질에 의해, 에칭은 수직 방향으로만 진행하고 측면 방향으로는 거의 에칭이 진행하지 않아서, 비등방성 에칭 프로파일을 생성할 수 있다. 따라서, 에칭 혼합물의 패시베이션 가스의 존재는, 상대적으로 높은 에너지 지향성 이온 충격에 의한 큰 비등방성 에칭 프로파일 및 더 양호한 에칭 마스크 보호의 이점을 위해 매우 중요하다.
반응 가스 혼합물이 에칭 가스, 및 패시베이션 가스의 역할을 하는 중합체 형성자를 포함하는 것이 이미 제안되었다. 이 경우, 에칭 가스는 전기적 방전의 여기에 의해 큰 반응성의 종을 릴리스하고, 이는 자발적 반응 메카니즘에 의해 마스크 재료 및 에칭될 박막 재료를 교대로 에칭한다. 자발적 반응의 성질에 의해, 에칭 반응은 측면 및 수직면 모두에서 진행하여 등방성 에칭 프로파일을 생성한다. 에칭 구조 및 마스크 재료의 표면 상에 중합체 적층의 생성을 통한 중합체 형성자의 공존은, 이온 충격과 함께, 마스크 재료에 대한 동시적인 높은 에칭 선택도 및 에칭 비등방성을 생성하는데 사용될 수 있다.
또한, 반응 가스 혼합물이 중합체 형성자 가스 및 에칭 가능 가스를 포함하는 것이 이미 제안되었다. 에칭 가능 가스의 역할은, 전기 방전의 존재시에 에칭 가능 가스가 중합체 형성자 가스와 반응함으로써 큰 반응성의 종을 릴리스하게 하는 것이다. 또한, 마스크 재료 및 에칭 재료 상의 지연 코팅은 적절하게 선택된 패시베이션 가스와 이러한 재료의 표면과의 직접적인 화학 반응에 의해 형성될 수도 있다.
전술한 방법들의 공통된 단점은, 에칭 요구사항의 상이한 양태에 대한 최적 조건이 통상적으로는 일치하지 않으며, 가스를 혼합함으로써 각 전구 (precursor) 가스 고유의 특성 일부가 상호반응에 기인하여 상실될 수도 있다는 것이다. 에칭 조건 최적화는 단일 에칭 조건으로의 복잡한 트레이드오프 (trade-off) 를 거의 항상 포함하여, 상이한 에칭 화학물질이 분리되면 최적이 아닐 수도 있다.
1996년 3월 26일에 Laermer 등에 등록되고, 명칭이 "비등방적으로 실리콘을 에칭하는 방법 (Method of Anisotropically Etching Silicon)" 인 미국 특허 제 5,501,893 호에는 다양한 에칭 방법론이 교시되어 있다. 이 방법은 에칭 가스와 중합체 형성 가스를 2 개의 상이한 단계로 분리하고, 각각은 다른 하나와 다른, 순수하게 하나의 타입의 화학물질로 구성된다. Laermer 에서 교시된 적층 단계는 제안된 하나의 세부 적층 단계동안 거의 50 nm 두께의 Teflon 유사 중합체층을 형성한다. 이것은, 마스크 재료에서의 반응보다 에칭 재료의 표면에서의 반응에 대해 활성 에너지가 약간 더 낮으면, 낮은 이온 충격 에너지에서 자발적인 특정 에칭 반응에 대해 마스크 재료에 대한 높은 선택도가 달성될 수 있기 때문에, 낮은 이온 충격 에너지에서 고속 에칭 속도를 허용한다.
에칭 처리로부터 중합체 형성자를 제거함으로써, 측면 에칭이 발생하는 것을 방지하는 지연층이 없기 때문에, 에칭이 진행하는 지속시간동안 에칭 처리는 등방성이다. 또한, 에칭 혼합물에서 패시베이션 가스가 없다면, 더 높은 이온 에너지를 이용하기 원하는 경우 마스크 재료에 대한 충분한 에칭 선택도를 얻는 것이 어려울 수도 있다. 많은 에칭 애플리케이션은, 예를 들어, 매우 작은 치수의 구조에서 고 애스팩트 비 구조 (high aspect ratio structure) 를 얻기 위해 높은 이온 충격 에너지로부터 이점이 있을 수 있다. 또한, 이러한 처리는 원하지 않는 스트리에이션 및 패시팅을 가진다.
추가적으로 제안된 방법은 마스크 재료의 전반적인 에칭 저항성을 개선하기 위해 적층된 마스크 방식을 포함한다. 이것은 도 2a 내지 2f 에 도시되어 있다. 도 2a 에서는 산화층 (204) 이 제공된다. 도 2b 는 산화층 상에 위치하는 하드마스크층 (208) 을 도시한다. 포토레지스트 마스크 (212) 는 도 2c 에 도시된 바와 같이 하드마스크층 (208) 상에 위치한다. 포토레지스트 마스크 (212) 는 하드마스크층 (208) 을 패터닝하여 패터닝된 하드마스크층 (214) 을 생성하는데 사용되고, 포토레지스트층 (212) 은 도 2d 에 도시된 바와 같이 제거될 수도 있다. 콘택트 홀 (216) 은 도 2e 에 도시된 바와 같이 패터닝된 하드마스크층 (214) 을 마스크로서 사용하여 산화층 (204) 에서 에칭된다. 그 후, 하드마스크가 제거되어 도 2f 에 도시된 바와 같이 산화층 (204) 내에 콘택트 (216) 를 남긴다.
이러한 방법의 이점은, 아래에 위치한 막에 패턴 (회로 및 배선) 을 전달하는 더 많은 불활성 하드마스크를 가짐으로써, 에칭 성능이 더 강화되고 에칭 및 포토리소그래피에 대한 요구사항이 더 감소된다는 것이다. 이 방법의 단점은, 새로운 진행 단계 및 새로운 툴 세트를 처리 흐름에 도입함으로써 더 비싸고 전반적인 처리율이 낮다는 것이다. 또한, 여분의 처리 복잡성이 그 자체로 어려움을 초래한다. 예를 들어, 유전 콘택트 에칭 애플리케이션에 사용되는 Si 하드마스크는 포토레지스트 마스크만큼 용이하게 박리되지 않는다.
발명의 요약
본 발명의 목적에 따라 전술한 점들을 달성하기 위해, 기판 상의 에칭 마스크를 통해 층에 특징형상을 에칭하는 방법이 제공된다. 가스 조절 순환 처리는 4 이상의 순환동안 수행된다. 각 순환은 적층 가스 화학물질을 가진 제 1 가스 화학물질을 사용하여 보호층 형성상 (protective layer formation phase) 을 수행하는 단계를 포함하고, 보호층 형성상은 각 순환동안 약 0.0055 내지 7 초 내에 수행된다. 보호층 형성상은 적층 가스를 제공하는 단계 및 적층 가스로부터 플라즈마를 형성하는 단계를 포함한다. 각 순환은, 반응 에칭 가스 화학물질을 사용하는 제 2 가스 화학물질을 사용하여 에칭 마스크를 통해 특징형상을 에칭하는 에칭상 (etching phase) 을 수행하는 단계를 더 포함하고, 제 1 가스 화학물질은 제 2 가스 화학물질과 상이하며, 에칭상은 각 순환동안 약 0.005 내지 14 초 내에 수행된다. 각 에칭상은 반응 에칭 가스를 제공하는 단계 및 반응 에칭 가스로부터 플라즈마를 형성하는 단계를 포함한다.
또 다른 실시형태에서, 기판 상의 에칭 마스크를 통해 층에 특징형상을 에칭하는 장치가 제공된다. 기판이 그 내부에 위치할 수도 있는 처리 챔버가 제공된다. 적층 가스 화학물질의 제 1 가스 화학물질을 제공하는 제 1 가스 화학물질 소스가 제공된다. 반응 에칭 가스 화학물질의 제 2 가스 화학물질을 제공하는 제 2 가스 화학물질 소스가 제공된다. 제어기가 제 1 가스 화학물질 소스 및 제 2 가스 화학물질 소스에 제어가능하게 접속되며, 4 이상의 순환동안 가스 조절 순환 처리를 수행하는 컴퓨터 판독가능 매체를 구비하는 제어기가 포함된다. 컴퓨터 판독가능 매체는, 적층 가스 화학물질을 가진 제 1 가스 화학물질을 사용하여, 각 순환동안 약 0.0055 내지 7 초 내에 수행되는 보호층 형성상을 수행하는 컴퓨터 명령들을 포함하고, 적층 가스를 제공하는 컴퓨터 명령들 및 적층 가스로부터 플라즈마를 형성하는 컴퓨터 명령들을 포함한다. 컴퓨터 판독가능 매체는, 반응 에칭 가스 화학물질을 사용하는 제 2 가스 화학물질을 사용하여 에칭 마스크를 통해 특징형상을 에칭하는 에칭상을 수행하는 컴퓨터 명령들을 포함하고, 여기서 제 1 가스 화학물질은 제 2 가스 화학물질과 상이하고, 에칭상은 각 순환동안 약 0.005 내지 14 초 내에 수행되며, 컴퓨터 판독가능 매체는 반응 에칭 가스를 제공하는 컴퓨터 명령들 및 반응 에칭 가스로부터 플라즈마를 형성하는 컴퓨터 명령들을 포함한다.
본 발명의 또 다른 실시형태에서는, 기판 상의 에칭 마스크를 통해 층에 특징형상을 에칭하는 방법이 제공된다. 가스 조절 순환 처리는 4 이상의 순환 동안 수행된다. 각 순환은 제 1 에칭상을 수행하는 단계를 포함하고, 제 1 에칭상은 각 순환 동안 약 0.0055 내지 14 초 내에 수행된다. 제 1 에칭상은 제 1 에칭 가스를 제공하는 단계 및 제 1 에칭 가스로부터 플라즈마를 형성하는 단계를 포함한다. 각 순환은 제 2 에칭상을 수행하는 단계를 더 포함하고, 제 2 에칭상은 각 순환동안 약 0.005 내지 14 초 내에 수행된다. 각 제 2 에칭상은 제 1 에칭 가스와 상이한 제 2 에칭 가스를 제공하는 단계 및 제 2 에칭 가스로부터 플라즈마를 형성하는 단계를 포함한다.
본 발명의 이러한 특성 및 다른 특성을 이하 발명의 상세한 설명 및 첨부한 도면을 참조하여 더 상세히 설명한다.
도면의 간단한 설명
본 발명은, 첨부한 도면에서 한정의 방식이 아닌 예시의 방식으로 설명되었으며, 유사한 도면 부호는 유사한 소자를 나타낸다.
도 1a 내지 1c 는 종래기술의 처리를 통해 콘택트 홀 특징형상을 형성하는 개략도이다.
도 2a 내지 2f 는 종래기술의 처리를 통해 콘택트 홀 특징형상을 형성하는 개략도이다.
도 3 은 본 발명의 실시형태의 흐름도이다.
도 4a 내지 4f 는 본 발명의 처리를 사용하여 콘택트 홀을 형성하는 개략도이다.
도 5 는 본 발명을 실시하는데 사용될 수도 있는 시스템의 개략도이다.
도 6 은 본 발명의 실시예를 사용하여 밀집된 콘택트의 어레이를 에칭한 결과의 평면도의 주사식 전자 현미경사진 (scanning electron microgragh) 이다.
도 7 은 본 발명의 실시예를 사용하여 밀집된 콘택트의 어레이를 에칭한 결과의 프로파일 뷰의 주사식 전자 현미경사진이다.
도 8a 내지 8e 는 아단분자층 (submonolayers) 을 사용하여 본 발명의 고속 순환 형태에서 표면 상에 재료를 축적하는 것을 개략적으로 도시한다.
도 9a 내지 도 9d 는 저속 순환의 형태에서 표면 상에 재료를 축적하는 것을 개략적으로 도시한다.
도 10a 및 10b 는 본 발명의 실시형태에서 사용되는 제어기를 구현하기에 적절한 컴퓨터 시스템을 도시한다.
바람직한 실시형태의 상세한 설명
이하, 첨부한 도면에 도시된 바와 같이 몇몇 바람직한 실시형태들을 참조하여 본 발명을 상세히 설명한다. 다음의 설명에서, 본 발명의 철저한 이해를 위해 다수의 특정 세부사항들을 설명한다. 그러나, 본 발명의 이러한 특정 세부사항들의 일부 또는 전부 없이 실시될 수도 있음은 당업자에게 명백할 것이다. 이러한 예에서, 본 발명을 불필요하게 모호하게 하지 않기 위해, 공지의 처리 단계 및/또는 구조는 설명하지 않았다.
10 nm 이상의 두께인 측벽 패시베이션층과 같은 보호층을 형성하는 것, 및 보호층을 패시베이션층으로서 사용하면서 에칭하는 것은 스트리에이션 및 패시팅을 야기시킬 수도 있다. 이론에 의해 제한되지 않고, 이러한 두께의 층은 스트리에이션에 대한 소망하는 보호를 제공하기에 충분히 적합하지는 않다. 본 발명에 의해 제공되는 얇은 보호층은 스트리에이션을 충분히 감소시킨다. 또한, 이러한 얇은 보호층은 패시팅을 감소시킬 수도 있다. 또한, 이것은 CD 확대를 감소시켜, CD 제어 또는 CD 바이어스의 제어를 제공하며, 여기서 CD 바이어스는 에칭동안 CD 의 변화로서 규정된다.
본 발명은, 보호층 형성상과 에칭상에서 인시츄 가스 조절 순환 에칭 처리가 교대로 발생하여, 단순화 및 비용효과를 과도하게 희생시키지 않고, 전반적인 에칭 성능을 강화시키는 새로운 에칭 방법이다. 구체적으로 조절은 처리 공급 가스의 흐름 비율 및/또는 조성의 순환적 변화를 포함하고, 또한 RF 전력, 가스 압력 및 온도에서의 동기화된 변화를 포함할 수도 있다. 순환 처리는 전체 순환 시간 및 순환 시간 비율에 의해 특징지어지며, 순환 시간 비율은 보호층 형성 단계를 위한 시간과 에칭 단계를 위한 시간 사이의 비율이다.
Huang 등에 의해 2002년 11월 14일 출원되고, 명칭이 "플라즈마 에칭 성능 강화를 위한 방법 (METHOD FOR PLASMA ETCHING PERFORMANCE ENHANCEMENT)" 이며 참조로 통합된 미국 특허 출원 번호 10/295,601 호는, 에칭 진행 동안 에칭 특징형상의 마스크 및/또는 수직 측면을 강화 및/또는 회복하는데 인시츄 플라즈마 처리가 사용될 수도 있음을 개시하고 있다. 이러한 처리에서, 웨이퍼가 소망하는 지속시간동안 에칭 플라즈마에 노출되기 전 또는 노출된 후에, 플라즈마 화학 처리 단계가 짧은 지속시간동안 개시된다.
본 발명에서, 마스크 및 측벽을 보호하는 처리 단계가, 호환가능한 에칭상과 교환적으로 가스 조절 순환 처리의 하나의 상으로서 도입되도록 이러한 접근방식이 수정된다.
보호층 형성 처리는, 에칭되는 막의 마스크 및/또는 측면의 표면 상에 재료의 박막이 형성되어, 에칭 부식, 패시팅 및 스트리에이션을 방지하도록 선택될 수도 있다. 이러한 얇은 코팅은, 최종 제거를 용이하게 하기 위한 나중의 박리 처리와 호환가능하며, 마스크 재료보다 에칭에 더 저항적인 재료일 수도 있다. 예를 들어, 다른 성분은 매우 적은 양을 포함하면서 탄소를 풍부하게 함유한 박막이 포토레지스트 마스크를 코팅하는데 사용되어, 보호된 마스크 특징형상이 후속 에칭 처리에 의해 쉽게 부식되지 않게 할 수도 있다. 즉, 비정질 탄소 하드마스크의 바람직한 특정 에칭 특징을 가지는 의사 하드마스크 (pseudo hardmask) 와 같이 마스크가 작용하도록 마스크 패턴의 표면 조성을 변경할 수도 있다. 또한, 마스크 패턴 상의 얇은 코팅의 형성이 이전의 에칭 처리에 의해 손상/부식된 마스크 패턴을 대부분 보상 및/또는 회복하도록 층 형성 처리가 사용될 수도 있다. 에칭 단계에서 얻어진 미세한 밸런스를 바꾸지 않기 위해서, 후속 에칭 반응에 대한 코팅의 상대적인 불활성은 바람직하다. 또한, 얇은 코팅은, 측벽의 매끄러운 적합 커버리지를 제공하는 처리 조건을 사용하여 생성되어, 거칠고/거칠거나 주름진 측면 중합체 코팅에 기인한 스트리에이션의 개시를 방지할 수도 있다.
에칭 화학물질에서 패시베이션 가스와 관련된 이점을 상실하지 않기 위해, 에칭 가스 혼합물은 에천트 종 및 패시베이션 종을 포함할 수도 있다. 다수의 다른 처리 조건과 함께, 패시베이션 성분에 대한 에칭의 비율은 미세하게 조절되어 밸런싱되어, 포토레지스트 선택도, 에칭 비등방성 및 에칭 속도 등과 같은 최적의 처리 결과를 달성한다. 전기 방전 전력은 높게 유지될 수도 있고, 하전된 입자의 에너지 또한 높게 유지되어 고속의 에칭 속도 및 작은 치수의 구조에서 양호한 에칭 비등방성을 얻는다. 보호층 형성 및 에칭 순환은 에칭 작업이 완료될 때까지 다수회 반복된다.
이해를 용이하게 하기 위해, 도 3 은 본 발명의 실시형태의 흐름도이다. 에칭될 층 상에 마스크가 제공된다 (단계 304). 마스크는 포토레지스트 마스크, 하드 마스크 또는 적층된 마스크일 수도 있다. 도 4a 내지 4f 는 이 처리의 개략도이다. 도 4a 는, 기판 상에서 에칭될 산화층 (408) 상에 제공된 포토레지스트 마스크 (404) 를 도시한다. 기판은 처리 챔버 내에 위치된다 (단계 306).
도 5 는 본 발명의 바람직한 실시형태에 사용될 수도 있는 처리 챔버 (500) 의 개략도이다. 본 실시형태에서, 플라즈마 처리 챔버 (500) 는 제한링 (confinement ring; 502), 상부 전극 (504), 하부 전극 (508), 가스 소스 (510) 및 배출 펌프 (520) 를 구비한다. 가스 소스 (510) 는 보호층 소스 (512), 에천트 가스 소스 (514) 및 추가적인 가스 소스 (516) 를 구비한다. 플라즈마 처리 챔버 (500) 내에서, 그 위에 산화층이 적층되는 기판 웨이퍼 (580) 는 하부 전극 (508) 상에 위치된다. 하부 전극 (508) 은 기판 웨이퍼 (580) 를 유지하기 위한 적절한 기판 처킹 (chucking) 메카니즘 (예를 들어, 정전기적, 기계적 클램핑 등) 을 통합한다. 반응 상단 (528) 은, 하부 전극 (508) 의 정반대에 배치되는 상부 전극 (504) 을 통합한다. 상부 전극 (504), 하부 전극 (508) 및 제한링 (502) 은 제한된 플라즈마 볼륨 (540) 을 규정한다. 가스는 가스 소스 (510) 에 의해 가스 입구 (543) 를 통해 제한된 플라즈마 볼륨에 공급되고, 배출 펌프 (520) 에 의해 제한링 (502) 및 배출 포트를 통해 제한된 플라즈마 볼륨으로부터 배출된다. 배출 펌프 (520) 는 플라즈마 처리 챔버를 위한 가스 출구를 형성한다. RF 소스 (548) 는 하부 전극 (508) 에 전기적으로 접속되어 있다. 챔버벽 (552) 은, 제한링 (502), 상부 전극 (504), 하부 전극 (508) 이 그 안에 배치되는 플라즈마 인클로저를 규정한다. RF 소스 (548) 는 27 MHz 전원 및 2 MHz 전원을 구비한다. 전극들에 RF 전력을 접속시키는 상이한 결합방식이 가능하다.
본 발명에 요구되는 순환 시간을 제공하기 위해 변형된, 캘리포니아 프리몬트의 램 리써치 사에 의해 제조된 2300 ExelanTM 유전체 에칭 시스템이 본 발명의 바람직한 실시형태에 사용될 수도 있다. 제어기 (535) 는 RF 소스 (548), 배출 펌프 (520), 적층 가스 소스 (512) 에 접속되는 제 1 제어 밸브 (537), 에칭 가스 소스 (514) 에 접속되는 제 2 제어 밸브 (539), 및 추가적인 가스 소스 (516) 에 접속되는 제 3 제어 밸브 (541) 에 제어가능하게 접속된다. 샤워헤드 (showerhead) 가 가스 입구 (543) 에 접속될 수도 있다. 가스 입구 (543) 는 각 가스 소스를 위한 단일 입구 또는 각 가스 소스를 위한 상이한 입구, 또는 각 가스 소스를 위한 복수의 입구일 수도 있고, 다른 가능한 결합일 수도 있다.
그 후, 이 구조는 조절 에칭을 위해 준비된다 (단계 308). 이러한 준비는 BARC 층을 개방하는 것과 같은 단계를 포함할 수도 있다.
그 후, 가스 조절 순환 에칭 처리가 수행된다 (단계 312). 가스 조절 순환 에칭 처리 동안, 처리 챔버 (500) 는 2 이상의 상 사이에서 조절한다. 하나의 상은 보호층을 형성하기 위해 최적화된 단계이다 (단계 316). 또 다른 상은 에칭을 위해 최적화된 단계이다 (단계 326). 이 상들 사이의 교번은, 가스 유속의 동기화된 조절, 및 가능하게는 RF 전력, 표면 온도 및 가스 압력에 의해 달성된다. 바람직한 실시형태에서, 전체 순환 시간은 약 21 초보다 크지 않다. 더 바람직하게는, 전체 순환 시간은 0.01 내지 10 초 내에서 수행된다. 가장 바람직하게는, 전체 순환 시간은 0.5 내지 5 초 내에서 수행된다. 바람직하게는, 순환 시간 비율 (보호:에칭) 은 0.01 과 20 사이이다. 더 바람직하게는, 순환 시간 비율 (보호:에칭) 은 0.05 와 5 사이이다. 가장 바람직하게는, 순환 시간 비율 (보호:에칭) 은 0.2 와 1 사이이다. 바람직하게는, 가스 조절은 약 3 내지 50,000 순환 사이동안 수행된다. 더 바람직하게는, 가스 조절은 약 20 내지 1000 순환 동안 수행된다. 가장 바람직하게는, 가스 조절은 적어도 약 100 순환 이상 동안 수행된다.
보호층을 형성하기 위해 최적화된 상 (단계 316) 동안, 보호층이 에칭된 특징형상의 측면 및 가능하게는 에칭 마스크의 상단 상에 적층된다. 적층은, 적층의 양이 측면보다 마스크 재료 상에 우선적으로 더 형성되도록 하는 비대칭일 수도 있다. 이것은, 적층 소스에 대한 위치의 조준선 (line-of-sight) 및 선택된 적층 처리의 선택 성질에 의해 보조될 수도 있다. 즉, 코팅이 재료의 화학 반응성에서의 차이에 기인하여 마스크 재료 상에 우선적으로 형성되는 방식으로 적층 화학물질이 선택될 수도 있다. 도 4b 에서 볼 수 있듯이, 포토레지스트 마스크 (404) 의 바닥에서 노출된 산화면 및 포토레지스트 마스크의 측면에서보다 포토레지스트 마스크 (404) 의 상단에서 더 두꺼운 보호층 (412) 이 형성된다. 도면의 상이한 치수 관계는 스케일링될 필요가 없을 수도 있다. 예를 들어, 마스크 및 에칭된 층의 두께에 비해 보호층의 두께는 스케일링되지 않을 수도 있지만, 명확하게 하기 위해, 이러한 보호층은 더 두껍게 도시될 수도 있다. 바람직한 실시형태에서, 적층은, 포토레지스트의 측면 상에 얇은 보호층을 적층하는 플라즈마 강화 화학적 증착 (CVD) 처리를 사용하여 에칭 챔버 내에서 인시츄로 이루어진다. 증착 처리는 일부 이온 충격 에너지를 가하여 이러한 증착의 선택을 허용한다. 이러한 처리에서, 측벽의 두께는 마스크 상단 상의 층 두께의 약 2/3 일 수도 있다.
또 다른 실시형태에서는, 에칭 전단이 재료를 통해 진행함에 따라 처리 조건이 변화하여, 보호층의 두께 및 공간 분포를 변경시킬 수도 있다. 예를 들어, 후속 에칭에 의한 추가적인 왜곡으로부터 측벽을 보호하기 위해, 에칭이 더 깊게 진행함에 따라, 에칭되는 막의 측벽 상에 더 두꺼운 코팅을 형성하는 것이 바람직할 수도 있다. 에칭의 진행에 따른 순환 처리 조건의 변화가 이를 제공할 수도 있다. 층의 형성 및 에칭은 순환에서 개별적인 상이기 때문에, 층 형성상에 대한 처리 조건은 에칭상을 간섭하지 않고 이러한 결과를 위해 최적화될 수도 있다. 또한, 총 순환 시간 및/또는 순환시간 비율은 개별적인 상에 대한 처리 파라미터에 대해 어떠한 변경없이, 에칭이 진행함에 따라 조정되어 이 변화를 제공할 수도 있다. 또 다른 실시형태에서는, 보호층이 측벽 상에만 적층될 수도 있다.
보호층 형성상동안, 적층 가스의 불소 대 탄소 비율은 2:1 보다 크지 않다. 플라즈마 강화 CVD 에 사용될 수도 있는 적층 화학물질의 예로는, CH3F, CH2F2, C2H5F, C3H7F, C2H3F, CH4, C2H4, C2H6, C2H2, C3H8 및 SiH4, Si(CH3)4, Si(C2H5)4 일 수도 있지만, 이에 한정되지는 않는다. 이러한 화학물질은 할로겐이 없거나 또는 2:1 보다 크지 않은 할로겐 대 탄소 비율을 가지는 것이 바람직하다. 이론에 의해 한정되지 않고, 탄소 기반 화학물질은 에칭 저항성 비정질 탄소층을 형성한다. 실란 SiH4 가 포토레지스트 상에 비정질 실리콘층 (또는 다결정 실리콘층) 을 형성하는데 사용될 것이다. 또한, 일부 F 및 H 성분의 존재하에 보호층이 변형될 수도 있다. 적절한 이온 충격하에서, 적층이 SiO2 층 상이 아닌 포토레지스트 마스크 재료 상에서 발생하는 것과 같이, 다른 재료가 아닌 포토레지스트 마스크 재료 상에서 우선적으로 발생하도록, F 와 같은 다른 성분의 존재가 상이한 재료 표면 상에서 선택적인 활성을 산출하는데 사용될 수도 있다. 스퍼터링과 같은 다른 방법이 보호층을 형성하는데 사용될 수도 있다.
가스 조절 순환 처리를 달성하기 위해, 에칭 시스템 파라미터의 동기화된 제어가 다음과 같이 구현될 수도 있다. 순환의 시작시에 보호층 형성상을 개시하기 위해, 제어기 (535) 는, 제 1 밸브 (537) 가 적층 가스 소스 (512) 로부터의 적층 가스를 처리 챔버 (500) 로 유입하게 하는 반면, 제 2 밸브 (539) 가 에천트 가스 소스 (514) 로부터의 에칭 가스를 처리 챔버로 유입하게 하는 것을 방지하도록 한다. 또한 제어기 (535) 는 RF 소스 (548) 및 배출 펌프 (520) 에 의해 공급되는 전력을 밸브 제어와 동기화하여 제어할 수도 있다. 또한, 제어기는 웨이퍼 영역에서의 가스 압력, 웨이퍼 후방의 He 냉각 압력, 기판 상의 바이어스 및 다양한 온도를 밸브 제어와 동기화하여 제어하는데 사용될 수도 있다. 표 1 은 본 발명의 바람직한 실시형태에서 순환 처리의 보호층 형성상에 사용될 수도 있는 일부 파라미터의 표이다.
표 1
바람직한 범위 더 바람직한 범위 가장 바람직한 범위
바이어스 전압 > 50 볼트 > 100 볼트 > 300 볼트
바이어스 에너지 > 50 eV > 100 eV > 300 eV
바이어스는 기판 위의 상부 전극과 기판 아래의 하부 전극 사이에 일정한 전압을 가함으로써 제공될 수도 있다. 바람직한 실시형태에서, RF 전력 생성기에 의해 공급되는 무선 주파수 (RF) 전압을 인가함으로써, 기판 상에 전기적 음성이 형성되어 웨이퍼 재료를 유지할 수 있다 (따라서 웨이퍼에 바이어스를 인가한다). 이것은, RF 전압의 크기에 의해 제어되는 전기적 음성에 의해 결정되는 에너지에서 양으로 하전된 입자를 전기적으로 바이어스된 기판 쪽으로 당기는 결과를 가진다. 따라서, 기판 홀더에 인가된 RF 전력 (따라서 RF 전압) 을 제어함으로써 이온 충격 에너지를 공급하고 변화시키는 것이 가능하다.
보호층 형성상 (316) 은, 상이한 재료의 상이한 에칭 애플리케이션에 대해 요구되는 적층 가스의 상이한 결합을 포함할 수도 있는 순환 에칭 처리 (312) 에서 독립적인 상이며, 적층은, 마스크 특징형상을 포함하는 에칭 특징형상 주위에 보호 코팅을 제공할 수도 있다. 바람직하게는, 이 상에 전용되는 순환의 시간은 약 0.005 내지 7 초이다. 더 바람직하게는, 이 상에 전용되는 순환의 시간은 약 0.05 내지 5 초이다. 가장 바람직하게는, 이 상에 전용되는 순환의 시간은 약 0.25 내지 2.5 초이다. 바람직하게는, 100 Å 보다 작은 두께를 가진 층이 단일 보호층 형성상의 지속시간 동안 상단 및/또는 측벽 상에 형성된다. 더 바람직하게는, 약 0.1 과 50 Å 사이의 층이 단일 보호층 형성상의 지속시간 동안 상단 및/또는 측벽 상에 형성된다. 가장 바람직하게는, 약 1 과 10 Å 사이의 층이 단일 보호층 형성상의 지속시간 동안 상단 및/또는 측벽 상에 형성된다. 약 10 Å 보다 작은 층 두께의 경우, 커버리지는 단분자층 (monolayer) 의 부분으로서 더 정확하게 설명될 수도 있다. 일 실시형태에서, 보호층은 단일 보호층 형성상의 지속시간동안 단일 단분자층을 형성한다. 또 다른 실시형태에서, 보호층은, 단일 보호층 형성상의 지속시간동안 단일 원자 또는 분자층으로 표면을 완전하게 피복하지 않고, 대신에 표면을 특정 퍼센티지 (즉, 75%) 만큼 피복할 수도 있는 층인 아단분자층 (submonolayer) 을 형성한다.
에칭상 (320) 은 에칭 전단 (460) 을 진행시키도록 수행되는 순환 에칭 처리 (312) 에서 독립적인 상이며, 도 4c 에 도시된 바와 같이 에칭 특징형상 (416) 을 생성한다 (단계 320). 에칭 애플리케이션은, 고 애스팩트 비 콘택트 (HARC; high aspect ratio contact) 를 포함하는 유전 콘택트 에칭, 다마신 에칭, (스왈로우 또는 딥) 유전 트렌치 에칭, 셀프-얼라인 콘택트 에칭, 게이트 마스크 개방 에칭, 바이어 유전 에칭, 듀얼-다마신 바이어 에칭, 듀얼 다마신 트렌치 에칭, 도체 게이트 에칭, 도체 딥 트렌치 에칭, 도체 스왈로우 트렌치 분리 에칭 및 하드마스크 개방을 포함할 수도 있지만, 이에 한정되지는 않는다.
바람직하게는, 에칭상은 높은 이온 에너지를 사용하여 지향성 에칭을 제공한다. 에칭상은 도시된 바와 같이, 단일 에칭상의 지속시간동안 보호층 (412) 의 일부 또는 전부를 제거할 수도 있다. 표면의 일부 상에서 보호층의 전부가 단일 에칭상의 지속시간동안 제거될 수도 있다. 이 예에서, 포토레지스트 (404) 상 및 특징형상의 바닥에 측벽을 형성하는 보호층은 제거된다. 보호층의 다른 부분은 부분적으로만 제거될 수도 있다. 이 예에서, 포토레지스트 (404) 의 상면 상에서 보호층 (412) 의 일부만이 제거된다. 또 다른 실시형태에서는, 보호층의 다른 부분이 부분적으로 에칭되거나 또는 완전히 에칭될 수도 있다. 에칭상은 에칭될 층의 일부를 제거하고 에칭 전단 (460) 을 진행시킨다.
순환의 에칭상을 제공하기 위해, 제어기 (535) 는, 제 2 밸브 (539) 가 에천트 가스 소스 (514) 로부터의 에칭 가스를 처리 챔버 (500) 로 유입하게 하는 반면, 제 1 밸브 (537) 가 적층 가스 소스 (512) 로부터의 적층 가스를 처리 챔버로 유입하게 하는 것을 방지하게 한다. 또한, 제어기 (535) 는 RF 소스 (548) 및 배출 펌프 (520) 에 의해 공급되는 전력을 밸브 제어에 동기화하여 제어할 수도 있다. 또한, 제어기는 웨이퍼 영역내의 가스 압력, 웨이퍼 후방의 He 냉각 압력, 기판 상의 바이어스 및 다양한 온도들을 밸브 제어에 동기화하여 제어하는데 사용될 수도 있다. 순환은, 전술한 보호층 형성상으로 복귀함으로써, 그리고 순환 에칭 처리가 요구되는 동안 순환의 상들 사이에서의 교번을 반복함으로써 계속된다. 순환의 보호층 형성상 및 에칭상 모두에서 요구되는 공통 가스 또는 가스의 혼합물이 존재하면, 제어기 (535) 는, 제 3 밸브 (541) 가 공통 가스 소스 (516) 로부터의 가스를 순환의 2 상동안 처리 챔버에 유입하게 할 수도 있다.
순환 처리의 에칭상은 높은 에너지 이온을 사용하여 지향성 에칭을 제공하기 때문에, 중합체 형성 가스가 에칭상동안 제공될 수도 있다. 예를 들어, 중합체 형성 가스는 C4F6, C4F8, CH3F, CH2F2, CH4, C3F6, C3F8 및 CHF3 과 같은 하이드로카본, 플루오로카본, 하이드로플루오로카본일 수도 있다. 이러한 중합체 형성 가스는, 에칭 처리동안 연속적으로 적층되고 에칭되는 중합체층을 형성할 것이다.
표 2 는, 본 발명의 바람직한 실시형태에서 순환 처리의 에칭상에서 사용되는 일부 파라미터의 표이다.
표 2
바람직한 범위 더 바람직한 범위 가장 바람직한 범위
바이어스 전압 > 200 볼트 > 300 볼트 > 400 볼트
바이어스 에너지 > 200 eV > 300 eV > 400 eV
바람직하게는, 이 상에 전용되는 순환의 시간은 약 0.005 내지 14 초이다. 더 바람직하게는, 이 상에 전용되는 순환의 시간은 약 0.05 내지 7 초이다. 가장 바람직하게는, 이 상에 전용되는 순환의 시간은 약 0.25 내지 2.5 초이다. 바람직하게는, 에칭의 깊이가 단일 에칭상의 지속시간동안 500 Å 보다 적게 증가한다. 더 바람직하게는, 에칭의 깊이가 단일 에칭상의 지속시간동안 약 5 내지 250 Å 까지 증가한다. 가장 바람직하게는, 에칭의 깊이가 단일 에칭상의 지속시간동안 약 10 내지 50 Å 까지 증가한다. 단일 에칭상에서 약 10 Å 보다 적은 에칭 깊이의 변화의 경우, 이 변화는 단일 에칭상동안 제거된 재료의 단분자층의 부분으로서 더 정확하게 설명될 수도 있다. 일 실시형태에서, 단일 에칭상의 지속시간동안 제거되는 재료의 양은 약 1 단분자층이다. 또 다른 실시형태에서, 단일 에칭상의 지속시간동안 제거되는 재료의 양은 1 단분자층보다 적다.
도면에서 특징형상의 깊이는 스케일을 따르지 않을 수도 있다. 예를 들어, 작은 순환당 작은 에칭 변화는 도시하기 어려울 수도 있기 때문에, 에칭 깊이는 실제 에칭 깊이보다 더 크게 도시될 수도 있다.
순환 처리는 많은 순환동안 반복된다. 추가 보호층 (418) 이 도 4d 에 도시된 바와 같이 포토레지스트 마스크 상에 적층된다. 이 예에서, 구 보호층의 잔여부는 신 보호층 (418) 의 일부가 된다. 그 후, 특징형상은 포토레지스트 마스크를 통해 더 에칭되어 (단계 312), 도 4e 에 도시된 바와 같이 더 깊은 콘택트 홀 (416) 을 제공한다. 바람직하게는, 교번하는 적층 및 에칭상을 제공하는 이러한 가스 조절 순환 또는 루프가 4 회 이상 반복된다. 더 바람직하게는, 이 순환이 21 회 이상 반복된다. 더 바람직하게는, 이 순환이 적어도 100 회 반복된다.
더이상의 에칭을 원하지 않는 경우, 가스 조절 순환 처리 (단계 312) 는 완료된다. 최후의 순환에서, 에칭상은 도 4e 에 도시된 바와 같이, 보호층을 완전히 에칭할 수도 있다. 그러나, 순환 에칭 처리 후의 후속 처리 단계가 보호층을 제거하는데 그리고/또는 산화층 (408) 의 에칭을 완료하는데 사용될 수도 있다. 포토레지스트 마스크의 박리와 같은 추가적인 처리 단계가 수행되어, 도 4f 에 도시된 바와 같이 콘택트 홀 (416) 을 가진 산화층 (408) 을 산출할 수도 있다. 포토레지스트 마스크는 처리 챔버 (500) 에서 또는 처리 챔버 (500) 로부터 제거된 후에 박리될 수도 있다. 또한, 추가적인 처리 단계가 콘택트 홀의 바닥에 있는 막을 제거하기 위해 요구될 수도 있다.
또 다른 실시형태에서는, 가스 조절 순환 처리가 산화 에칭이 완료되기 전에 종료되고, 통상의 에칭 단계를 통합하여 에칭을 완료하게 할 수도 있다. 이것은 산화층 아래에 위치하는 정지층에 대한 선택도를 제어하는 수단으로서 바람직할 수도 있다.
포토레지스트 마스크에 대한 재료의 예로는, K UV 포토레지스트, 193 nm 포토레지스트, 157 nm 포토레지스트, EUV 포토레지스트, e-빔 포토레지스트 및 x-레이 포토레지스트와 같은 더 새로운 세대의 포토레지스트가 포함될 수도 있지만, 이에 한정되지는 않는다. 구 세대의 포토레지스트 중합체 재료는, C-C 이중결합 및 페놀기와 같은 불포화 C-C 결합을 포함하도록 설계되어, 요구되는 높은 에칭 저항성, 즉, 에칭 가스 혼합물에 대한 화학적 불활성을 제공한다. 이러한 결합은 강력하고, 결합을 끊는데 높은 활성 에너지를 요구하며, 따라서, 구 세대의 포토레지스트는 에칭 가스 혼합물에 대한 현저히 낮은 에칭 속도를 나타낼 수 있다. 이러한 불포화 결합은 리소그래피 노출 파장에서 흡수하기 때문에, 193 nm 및 157 nm 를 포함하는 새로운 세대의 포토레지스트는 이러한 불포화 결합을 포함하지 않을 수도 있다. 이러한 불포화 결합의 부재는 더 감소된 포토레지스트 에칭 저항성을 유발한다. 순환 처리 에칭동안 포토레지스트 상에 보호 코팅을 제공함으로써, 포토레지스트의 에칭 저항성은 높은 이온 충격 에너지에서도 더 개선된다. 본 발명이 포토레지스트의 에칭 저항성을 개선시킬 수도 있는 높은 충격 에너지는 50 내지 2,000 eV 일 수도 있다. 더 바람직하게는, 이온 충력 에너지가 200 내지 1,500 eV 일 수도 있다. 가장 바람직하게는, 이온 충격 에너지가 500 내지 1,000 eV 이다.
이론에 의해 제한되지 않고, 짧은 시간스케일에서 적층되고 에칭되는 초박막의 특성은 더 두꺼운 막의 특성과 다르기 때문에, 순환 처리는 상이한 처리 형태 (regime) 를 제공한다. 가스 조절 순환 처리 접근방식을 사용하여, 짧은 순환 시간으로, 측벽막 또는 포토레지스트 상단 표면 상의 막과 같은 초박막 보호층이 적층된다. 이러한 막 및 산화막은 후속적으로 순환의 다음 상동안 매우 적은 양에서 에칭된다. 얇은 보호층 두께는 단분자층 범위 내 (즉, 아단분자층, 단분자층, 또는 몇몇 원자 또는 분자의 층) 일 수도 있다.
단분자층 범위 내인 얇은 보호층의 생성은 적층 속도와 적층 시간의 곱에 의존한다. 다양한 적층 속도 및 적층 시간의 조합이 단분자층 범위 내의 얇은 보호층을 제공하는데 사용될 수도 있다. 예를 들어, 거의 1 nm/초 의 측벽 적층 속도 및 거의 2 nm/초 의 상단 표면 적층 속도를 제공하는 적층은 거의 0.5 nm 의 두께를 가진 단분자층 범위의 얇은 보호층을 제공하며, 적층 단계는 순환당 0.25 내지 0.5 초 동안이다 (즉, 1 nm/초 적층 속도 × 0.5 초 = 0.5 nm 적층). 적층 속도를 증가시키고 순환 시간을 감소시킴으로써, 또는 적층 속도를 감소시키고 순환 시간을 증가시킴으로써 동일한 단분자층 범위가 달성될 수도 있다. 이러한 융통성이 추가적인 제어 변수를 제공한다.
이론에 의해 제한되지 않고, 보호막의 두께가 구성성분의 분자 치수에 접근함에 따라, 예를 들어, 단분자 커버리지에 접근함에 따라, 막은 보호층의 벌크 특성과 구별되는 화학적 및 물리적 특성을 채택할 수도 있다. 이러한 방식에서, 박막의 개념은 더 이상 적용가능하지 않을 수도 있고, 재료의 표면 및 표면 인근 영역에 존재하는 화학종의 혼합을 고려하는 것이 더 정확할 수도 있다. 이러한 종은 느슨하게 결속된 물리흡착 (physisorbed) 종, 더 타이트하게 결속된 화학흡착 종 또는 더 큰 구조의 일부, 예를 들어, 중합체 분자, 유리 또는 벌크 크리스탈로서 존재할 수도 있다. 이러한 표면 및 표면 인근 종은 순환 처리의 보호층 상동안 적층되는 보호종을 포함할 것이지만, 또한, 다양한 종들 사이의 화학 반응으로부터 발생하는, 원시 기판과 다른 종, 및 순환 처리의 에칭상동안 적층되거나 또는 발달하는 종을 포함할 수도 있다. 적절한 단분자 형태에서의 고유 특성은 이러한 상이한 표면 및 표면 인근 종 서로간의 상호작용 및 기판 재료와의 상호작용으로부터 야기될 수도 있다. 이러한 상호작용은, 각 보호층 상에서 다수의 단분자층 이상으로 기판을 도포할 더 두꺼운 보호막의 경우에 억제될 것이며, 따라서 다음 에칭상이 시작할 때까지 보호 재료의 표면만을 노출시킬 것이다.
이론에 의해 제한되지 않고, 각 개별 보호 및 에칭상동안 아단분자층 커버리지에 대응하는 각 순환 내에서, 한정된 플럭스를 표면이 수용하는 극치에서, 진실로 신규한 처리 형태가 달성된다. 이 경우, 교번하는 처리 단계의 개념은 실제로 처리를 제어하는데 사용된다고 해도, 미세한 스케일에서는 부정확해진다. 미세한 스케일에서는, 종 및 이러한 종의 화학 반응의 도달 및 출발에 기초하여 표면 반응이 진행할 것이다. 반응은 연속적으로 발생하지만, 이상 고열 반응을 유도할수 있는 이온과 같은 강력한 (energetic) 종의 부정기적 충돌에 의해 중단 (punctuated) 된다. 임계 반응의 대부분은 여기의 짧은 순간동안 발생한다. 순환 아단분자 형태에서, 표면은, 표면에 도달하는 반응물의 플럭스가 필수적으로 2 개의 상이한 플라즈마 조건의 평균인 준정상 상태로 보이며, 반응은 이러한 종의 혼합물 사이에서 발생한다.
표면에 도달하는 종의 혼합물은 2 개의 개별 플라즈마 조건으로부터 생성되기 때문에, 이것은 전통적인 단일 단계, 정상 상태 에칭과 기본적으로 다른 형태이다. 가스 조절 순환 처리의 상의 처리 조건이 단일 정상 상태 레시피 단계로 결합되면, 표면에 도달하는 종의 결과적인 시간평균 플럭스는 플라즈마에서의 상이한 가스의 상호작용에 기인하여 변형될 것이다. 가스 조절 순환 처리 시간에 플라즈마 조건을 분리시킴으로써, 표면에 도달하는 종의 전반적인 혼합물은 전례없는 정도까지 제어될 수 있다. 순환의 2 개의 상이한 상에 대한 조건은 가스 화학물질을 조절시키는 능력에 따라 매우 다를 수 있다. 그 결과, 매우 다른 화학종이 순환의 상이한 상에서 생성되어, 단일 단계 정상 상태 처리가 불가능할 수도 있는 혼합물을 달성할 수 있다. 이러한 혼합물은 순환의 교번 상에 의해 생성된 2 개의 이산 플라즈마 조건으로부터의 플루언스 (fluence) 의 선형 조합이다. 이러한 플루언스의 비율은 순환 시간 비율에 의해 제어된다. 따라서, 순환 시간 비율은 추가적인 처리 조건 변수이다.
가스 조절 순환 처리 접근방식은, 짧은 순환 시간 형태에서 액세스가능한 근-단분자층 및 아단분자층의 형태를 제공할 수 있다. 또한, 순환 시간을 충분히 증가시킴으로써, 유지된 에칭 조건으로 교번하는 다수의 단분자층 두께를 가진 벌크 보호층의 형태가 액세스될 수 있다. 순환 시간의 이러한 2 극단 사이에서, 작용의 연속체가 액세스되어, 접근방식의 2 극단에 대한 소망하는 결과 및 소망하지 않는 결과의 밸런싱을 허용할 수도 있다. 따라서, 본 발명의 가스 조절 순환 처리는 이러한 연속체에서 이러한 형태의 전부를 제공하는 융통성을 제공한다. 따라서, 전체 순환 시간은 추가적인 처리 제어 변수이다.
도 8a 내지 8e 는 아단분자층을 사용하여 고속 순환 형태로 표면 상에 재료를 축적하는 것을 개략적으로 도시한다. 이 예에서, 순환 처리의 각 상은 표면 사이트에 종을 추가시키는 것이지만, 상이한 상에서는 상이한 종이 생성된다. 이것은 상이한 상 동안 표면 상의 흑색원 및 백색원 사이의 교번에 의해 표시된다. 이러한 원들은 가스 상 내 및 표면 상의 적층 분자를 표현한다. 도 8a 에서, 초기 측벽 표면 (804) 은 비어있는 표면 사이트 (806) 로 도시된다. 도 8b 는, 제 1 상의 플라즈마 조건에 의해 생성되는 적층 분자의 제 1 종 (808) 이 표면 (804) 의 표면 사이트 (806) 상에 적층되는 순환 처리의 제 1 상의 효과를 도시한다. 제 1 상의 제 1 적용 동안 모든 표면 사이트 (806) 가 점유되지는 않는다. 도 8c 는, 제 2 상의 플라즈마 조건에 의해 생성되는 적층 분자의 제 2 종 (812) 이 적층되는 제 2 상의 효과를 도시하며, 제 2 종은 가스 화학물질의 조절 및 가능한 다른 처리 파라미터에 기인하여 제 1 상 적층 분자의 제 1 종 (808) 과 다르다. 1 보다 작은 단분자층이 제 2 상의 적용에서 표면 커버리지에 추가된다. 도 8d 는 순환 처리의 제 1 상의 다음 적용의 효과를 도시한다. 이 적용에서, 단분자층 커버리지가 완료되고, 제 2 층이 형성되기 시작한다. 도 8e 는, 제 1 및 제 2 상에서 생성된 상이한 종 (808, 812) 으로 구성된 각 층을 가진 혼합막인, 다수의 순환 후의 결과를 도시한다.
도 9a 내지 9d 는 더 느린 순환의 형태에서 표면 상에 재료를 축적하는 것을 개략적으로 도시한다. 이것은, 총 순환 시간이 대략 10 팩터만큼 증가된 것을 제외하고는 도 8a 내지 8e 의 예와 동일한 조건에 의해 달성된다. 도 9a 에서 초기 표면 (904) 은 비어있는 표면 사이트 (906) 로 도시된다. 도 9b 는, 제 1 상의 플라즈마 조건에 의해 생성된 적층 분자의 제 1 종 (908) 이 측벽 표면 (904) 의 표면 사이트 (906) 상에 적층되는, 순환 처리의 제 1 상의 효과를 도시한다. 이 경우에, 표면 커버리지의 다수의 단분자층이 제 1 상의 제 1 적용동안 추가된다. 도 9c 는, 제 2 상의 플라즈마 조건에 의해 생성된 적층 분자의 제 2 종 (912) 이 적층 분자의 제 1 종 (908) 에 의해 형성된 층 상에 적층되는, 순환 처리의 제 2 상의 효과를 도시한다. 표면 커버리지의 다수의 단분자층이 제 2 상의 제 1 적용동안 추가된다. 도 9d 는, 각각 순환 처리의 단일 상동안 생성된 제 1 종 (908) 과 제 2 종 (912) 의 층으로 구성된 다중층을 가진 2 개의 상이한 막의 교번 적층체인, 1 과 1/2 순환 후의 결과를 도시한다.
이러한 예들은, 총 순환 시간이 표면의 단일 단분자층을 적층하는데 요구되는 시간에 필적하게 됨에 따라 달성될 수도 있는, 질적으로 상이한 미세 결과를 도시하기 위해 제공된다. 이 2 예에서 생성되는 상이한 표면막은 총 순환 시간의 변화에만 기초하는 웨이퍼 구조에 대한 상이한 처리 결과에 대응할 수도 있다. 이것은 표면 메카니즘으로서의 적층만을 가진 단순한 예이지만, 표면 메카니즘의 더 복잡한 조합에도 유사한 설명이 적용될 수 있다. 예를 들어, 순환 처리의 교번하는 상에 의해 적층 및 에천트 종에 교대로 노출되는 표면은, 총 순환 시간이 표면의 단일 단분자층을 적층 또는 에칭하는데 요구되는 시간에 필적해지는 경우에, 변형된 작용을 나타낸다.
전술한 바와 같이, 교번하는 보호 및 에칭 단계를 사용함으로써, 스트리에이션 및 패시팅이 감소되고 더 양호한 에칭 제어가 제공될 수도 있다. 이론에 의해 제한되지 않고, 가스 조절 순환 처리를 통해 액세스가능한 근-단분자층 및 아단분자층 보호 커버리지의 형태, 및 교번하는 접근방식의 보호 능력은 제어되고 변형되어, 스트리에이션 및 패시팅을 감소시키고 더 양호한 에칭 제어를 도울 수도 있는 고유의 특성을 제공한다.
에칭될 층은 (실리콘 산화물과 같은) 유전층, (금속 및 실리콘 또는 다른 타입의 반도체와 같은) 도전층, (실리콘 질화물 및 실리콘 옥시니트라이드 (oxynitride) 와 같은) 하드마스크층 또는 (실리콘 질화물 또는 실리콘 탄화물과 같은) 장애물층일 수도 있다. 도전층을 에칭하기 위해, 염소, 불소 또는 브롬과 같은 할로겐이 에칭 단계에서 사용되며, 적층은 탄소를 다량 함유한 박막 또는 Si 를 함유한 박막을 적층하는데 사용되는 화학물질을 포함할 수도 있다. 바람직하게는, 에칭될 층은 실리콘 산화물, 도핑된 규산염 유리, 또는 유기규산염 유리 또는 SiLK 와 같은 로우-k 유전막과 같은 유전재이다.
가스 조절 순환 처리 단계는, 보호층 형성을 위한 반응물 및 에칭을 위한 반응물이 교대로 제공되면서, 보호층 형성 및 에칭에 대해 동일한 캐리어 가스 흐름을 사용함으로써 수행될 수도 있다. 또한, RF 전력, 온도 및/또는 압력이 가스 흐름에 동기화되어 펄스되어, 가스 조절 순환 처리의 각 상에 대한 최적 조건을 제공할 수도 있다.
또 다른 실시형태에서는, 캐리어 가스의 전체 가스 혼합물과 반응물이 교번된다. 또한, RF 전력, 온도 및/또는 압력이 가스 흐름에 동기화되어 펄스되어, 순환 처리의 각 상에 대한 최적 조건을 제공할 수도 있다. 또 다른 실시형태에서는, 동일한 가스가 2 상에 대해 사용되지만, 상대적인 흐름 비율이 각 상에 대해 변화될 수도 있다. 따라서, 가스 조절 순환 처리의 2 개의 상이한 상 사이에서 상이한 가스 화학물질을 제공하기 위해, 2 개의 전체적으로 상이한 가스를 사용할 수도 있고, 동일한 캐리어 가스 및 상이한 활성 가스를 사용할 수도 있고, 상이한 상대적 흐름을 가진 동일한 가스들을 사용할 수도 있다.
보호층 형성 및 에칭에 대해 동일한 캐리어 가스 흐름을 사용하는 상이한 가스 화학물질의 예에서는, 에칭 가스 소스로부터의 에천트 가스가 보호층 형성상동안 플라즈마 처리 챔버에 제공되지 않는다. 이것은, 에칭 가스 또는 적층 가스의 성분을 제공하지 않음으로써 수행될 수도 있다. 예를 들어, 산소 또는 산소 함유 가스는 에칭 가스에서 주요 에칭 성분이다. 또한, 에천트 가스로 C4F6 이 사용되는 경우에도, 에칭은 이 예에서 산소가 없으면 C4F6 에 의해 달성될 수 없다. 따라서, C4F6 가 보호층 형성동안 제공되는 경우에도, 보호층 형성상동안 에칭 가스를 제공하지 않는 방법은 보호층 형성상동안 산소 또는 산소 함유 가스를 제공하지 않는 것이다. 또한, 보호 코팅을 형성하는 동안 보호층 형성 처리는 에칭이 아니거나 또는 무시할만한 에칭인 것이 바람직하다. 이러한 적층 처리는, CVD 및 스퍼터링이 에칭에 사용되지 않기 때문에, 플라즈마 강화 CVD 적층 또는 스퍼터링일 수도 있지만, 이에 한정되지는 않는다. 적층 가스가 에칭상에서의 중합체 형성자와 동일한 경우, 적층 가스는 에칭상동안 제공될 수도 있다. 또한, 에칭상동안의 바이어스 전력은 지향성 에칭을 제공하기 위해 더 높을 수도 있다.
에칭상동안 중합을 제공하기 위해 중합체 형성자의 존재 및 개별 적층 상을 제공하는 것은, 더 고속의 에칭 속도 및 더 양호한 비등방성 에칭을 위한 더 높은 에너지의 에칭 이온의 사용을 허용한다. 에칭상 혼합물에서 패시베이션 가스를 유지함으로써, 에칭 마스크의 용인할 수 없는 부식 및 손상없이 더 높은 이온 에너지를 사용할 수 있다. 또한, 비등방성 에칭은 에칭상의 지속시간동안 달성될 수 있다. 보호층 형성상과 에칭상을 교번하는 순환 처리를 사용함으로써, 마스크 보호가 최적화될 수 있다. 이러한 접근방식은 방전에서 에칭 가스와 지연 가스의 상호반응을 방지한다. 예를 들어, 적층 화학 혼합물은, 에칭 혼합물에 의해 생성된 코팅보다 더 견고하고 더 내구력이 있는 코팅을 형성하도록 선택될 수도 있다. 또한, 압력 및 밀집도와 같은 적층 화학물질 조건은 조정되어, 조성 및 두께와 같은 보호층 형성의 특성을 최적화할 수도 있다.
일부 혼합은 개별 적층 및 에칭상을 가지는 효율성을 감소시키기 때문에, 적층 가스 성분의 일부가 에칭 가스 성분과 혼합되지 않는 것이 바람직하다. 그 결과, 이러한 경우의 제어기는 조절 가스 흐름을 동기화시켜, 다른 가스가 추가되기 전에 하나의 가스가 고갈되게 한다.
독립적인 보호층 형성 및 에칭-패시베이션 상을 가짐으로써, 온도, 전력, 압력, 이온 에너지 및 처리 가스와 같은 처리 조건은 독립적으로 제어되어 변화하여 각 상에 대한 최적 조건을 제공한다.
아르곤 또는 다른 불활성 가스가 에칭 및 보호층 형성 동안 캐리어 가스로서 사용될 수도 있다. 또 다른 불활성 가스의 예는 네온일 수 있다.
본 발명의 일 실시형태에서, 플라즈마 (전기 방전에 의해 유지되는 화학물질과 하전 입자의 혼합물) 와 콘택트할 수도 있는 챔버 벽 영역은 가능한 작고, 상승된 온도에서 유지되도록 제조된다. 그 목적은, 하나의 처리 단계에서 형성되는 챔버 벽 영역의 코팅에 포함되는 화학 성분이 릴리스되어 후속 단계를 간섭하는 소위 챔버 "메모리" 효과를 방지하기 위함이다. 챔버 벽 영역 상의 전체 적층을 최소화함으로써, 이러한 효과는 감소되어, 성능을 악화시킬 수도 있는 2 개의 상이한 상 사이의 상호작용을 회피할 수 있다.
또한, 전구체 소스로부터 처리 챔버로의 가스 이동 시간을 매우 짧게 하는 것이 바람직할 수도 있다. 소망하는 일정한 흐름을 확립하는 시간 및 챔버에서 가스의 완전한 부재를 확립하는 시간을 나타내는 가스 흐름 안정화 시간을 매우 짧게 하여, 하나의 안정된 가스 혼합 조성으로부터 다음 조성까지의 전이가 매우 빨라질 수 있다. 이 목적은, 성능을 악화시킬 수도 있는 2 개의 상이한 상 사이에서 화학물질의 상호혼합을 회피하기 위함이다.
또한, 전기적 전력의 전기 방전으로의 변화를 제어하는 제어 네트워크 및 전기적 시스템은 방전 조건 및 전력 요구사항의 변화에 따라 매우 빠르게 반응하는 것이 바람직할 수도 있다. 또한, 가스 혼합물의 압력 및 웨이퍼 기판의 온도와 같은 처리 챔버의 또 다른 외부 조건을 빠르게 변화시키고 안정화할 수 있는 것이 바람직할 수도 있다. 이러한 처리 조건을 빠르게 변화시키는 것은 더 짧은 전체 순환 시간을 가능하게 하고, 처리 조건을 상들 사이에서 현저하게 변화하게 하여 각 상을 개별적으로 최적화할 수 있게 한다. 따라서, 처리 조건의 급격한 조절를 제어하고 동기화할 수 있는 컴퓨터화 시스템을 가지는 것이 바람직할 수도 있다. 컴퓨터 시스템은, 요구되는 주기적 변화에 대한 명령을 전송하고, 처리 챔버에서의 복수의 조건 변화를 제공하는 다양한 장치에 대해 사전에 결정된 시간 지연을 사용하여 이러한 명령을 동기화하는데 사용된다.
본 발명의 또 다른 실시형태는 순환 처리에 대한 하나 이상의 추가적인 상을 제공할 수도 있다. 예를 들어, 가스 조절 순환 처리는, 단일 순환 내에 3 개의 증착 상 및 3 개의 에칭상과 같은 6 개의 상을 가질 수도 있다. 추가적인 상의 추가는 각 순환의 증가된 복잡성에 의해 제한될 수도 있다.
또 다른 실시형태는 에칭상 동안 중합체 제공 가스의 사용을 제거할 수도 있다. 본 발명의 또 다른 실시형태는 증착상 및 에칭상 대신에 2 개의 에칭상을 제공할 수도 있다. 이러한 실시형태에서, 하나의 에칭상은 점차 줄어드는 프로파일을 산출하는 에칭 처리일 수도 있는 반면, 제 2 에칭상은 휘어지는 프로파일을 산출하는 에칭 처리 조건일 수도 있다. 짧은 순환 시간의 형태에서, 순환의 각 상은, 1 보다 작거나, 대략 1, 또는 몇 개의, 에칭되는 표면의 단분자층을 변형할 수도 있다. 이 경우에, 2 개의 상이한 에칭상간의 교번은 정제된 처리 제어 능력을 생성할 것이다. 또한, 가스 조절 순환 접근방식은, 단일 단계 정상 상태 조건에 의해서는 생성될 수 없는 표면에 종의 혼홉물을 전달하는 능력을 제공한다. 또한, 각 상에서 생성되는 종들 사이의 비율은 순환 시간 비율에 의해 쉽게 제어된다. 본 발명의 또 다른 실시형태는 2 증착상 및 단일 에칭상을 제공할 수도 있다. 본 발명의 또 다른 실시형태는 단일 증착상 및 2 에칭상을 제공할 수도 있다. 본 발명의 또 다른 실시형태는 가스 조절 순환 처리의 시퀀스, 전체 순환 시간에 의해 구별되는 각각의 순환 처리, 순환 시간 비율 및/또는 개별 상에 대한 처리 조건을 제공할 수도 있다. 이러한 상 조건들은 가스 조성, 가스 흐름, RF 전력, 압력 및/또는 온도를 포함한다.
실시예
HARC 구조를 에칭하는데 적용된 본 발명의 구체적인 실시예는 처리 챔버 (500) 를 위한 캘리포니아 프리몬트의 램 리써치사에 의해 만들어진 ExelanHPT 유전 에칭 시스템을 사용한다. 이 실시예에서 사용한 웨이퍼는 2.1 ㎛ SiO2 층, 패터닝된 포토레지스트 마스크, 및 SiO2 층 및 포토레지스트 마스크 사이에 바닥 반사방지 코팅 (BARC) 을 포함한다. 이 실시예에 사용된 SiO2 층은 플라즈마 강화 CVD를 사용하여 TEOS (tetraethylorthosilicate) 전구체로 증착한다. 포토레지스트 마스크는 193 ㎚ 포토리소그래피를 사용하여 패터닝하고, 0.16 ㎛ 이하의 콘택트 임계 치수 (CD) 가 생성된다.
이 실시예에서 가스 조절 순환 에칭을 위한 구조 (단계 306) 의 준비는 BARC 에칭 단계이다. 이 실시예에서 BARC 에칭 단계는 널리 공지된 BARC 에칭 단계들 중 하나일 수도 있다.
BARC 에칭 단계의 완료시에, Exelan HPT 유전 에칭 시스템에서 순환 처리를 수행한다. Exelan HPT 유전 에칭 시스템을 변형 없이 이용하는 이 실시예에서, 플라즈마는 각 순환마다, 보호층 형성상 (316) 의 종료시 및 에칭상 (320) 의 종료시에 2 회씩 소멸된다. 플라즈마를 소멸시키는 것은 천이 주기에서 융통성을 허용한다. 이러한 경우, 가스 흐름 및 압력을 안정화하기 위해서는 몇 초가 필요하며, 그 후 처리의 다음 상을 준비한다. 그러나, 플라즈마가 소멸되었기 때문에, 이러한 천이는 처리 결과에 거의 또는 전혀 영향을 미치지 못한다. 각 에칭상의 시작시에 플라즈마를 다시 점화하기 위하여, 에칭상의 초기 2 초는 그 상의 잔류물보다 더 큰 압력과 더 낮은 RF 전력을 이용하였다. 각 에칭상의 이러한 발화 부분은 전체 에칭상 시간의 일부인 것으로 고려한다. 총 처리시간을 계산하는데 있어서, 총 순환 시간, 및 순환 시간 비율, 플라즈마가 점화되는 시간 주기를 고려하였다. 따라서 명목상 320 초의 순환 처리는 실제 수행시간이 훨씬 더 오래 걸린다. 이러한 시간의 비효율적인 사용은 이 접근방식의 가장 큰 단점이다. 그러나, 이 접근방식은 변경되지 않은 시스템에 독창적인 결과를 제공한다.
순환 처리 (312) 의 보호층 형성상 (316) 는 다음의 처리 파라미터에 의해 정의된다. 27 ㎒에서 500 와트의 RF 전력이 인가되고, 2 ㎒에서 500 와트의 전력이 인가된 웨이퍼 영역의 압력은 120 mTorr이다. 처리 가스의 유량은 아르곤 500 sccm 및 CH3F 30 sccm이다. 정전기 척은 35 ℃의 온도에서 위치된다. 후면 척 헬륨 압력은 15 Torr 에서 위치된다. 이 실시예에서, 증착 가스 소스 (512) 는 CH3F 를 제공할 것이며, CH3F 는 에칭 동안에는 제공되지 않는다. 아르곤은 증착 및 에칭 단계 모두동안 제공되기 때문에, 아르곤은 추가적인 가스 소스 (516) 으로부터 제공될 수도 있다. 보호층 형성상을 개시하기 위해, 제어기 (535) 는 제 1 밸브 (537) 을 개방할 것이고 제 2 밸브 (539) 를 폐쇄할 것이다. 또한, 제어기는 추가적인 가스 소스로부터 아르곤의 흐름을 제어할 것이다. 제어기 (535) 는 이하 구체화하는 바와 같이 전력 및 다른 파라미터들을 제어할 것이다.
순환 처리 (312) 의 에칭상 (320) 은 다음의 처리 파라미터들에 의해 정의된다. 27 ㎒에서 1000 와트의 RF 전력이 인가되고, 2 ㎒에서 1800 와트의 전력이 인가된 웨이퍼 영역의 압력은 55 mTorr이다. 처리 가스의 유량은 Ar 270 sccm, C4F6 9 sccm 및 O2 10 sccm이다. C4F6 은 중합체 형성 가스일 것이며, 에칭 동안 중합반응을 제공한다. O2 는 에칭 보조 가스일 수 있다. C4F6 으로부터의 플루오린은 에칭에 사용되지만, 이 실시예에서의 플루오린은 에칭을 보조하기 위해 산소의 존재를 필요로 한다. 척은 35℃의 온도에서 위치된다. 후면 척 헬륨 압력은 15 Torr 에서 위치된다. 이 실시예에서, 에천트 가스 소스 (514) 는 C4F6 및 O2 를 제공할 것이며, C4F6 이 산소 없이 증착을 위해 사용될 수도 있지만, C4F6 및 O2 는 보호층 형성상 동안에는 제공되지 않는다. 에칭상을 개시하기 위해서, 제어기 (535) 는 제 1 밸브 (537) 을 폐쇄하고 제 2 밸브 (539) 를 개방할 것이다. 제어기는 추가적인 가스 소스로부터 Ar의 유량을 제어할 것이다. 제어기 (535) 는 이하 구체화하는 바와 같이 전력 및 다른 파라미터들을 제어할 것이다.
이 실시예에서, 제 1 BARC 에칭을 50초 (단계 308) 동안 수행한다. 그 다음, 순환 처리를 320 초 (단계 312) 동안 수행하고, 여기서 플라즈마 소멸 주기는 상의 시간 또는 총 순환 시간에 포함되지 않는다. 보호층 형성상 (316) 의 지속시간은 2 초이다. 에칭상 (320) 의 지속시간은 2 초의 스트라이크 조건을 포함하는 6 초이다. 따라서, 총 순환 시간은 8 초이고, 순환 시간 비율은 1:3 (보호층 형성상:에칭상) 였다. 순환은 40 회 반복된다. 순환 처리가 완료된 후 (단계 312), 포토레지스트를 박리한다.
도 6 및 7 은 콘택트 개방동안 0.16 ㎛ 의 공칭 임계 치수를 가진 밀집된 콘택트의 어레이에서 에칭의 결과를 도시하는 주사식 전자 현미경사진이다. 총 에칭 깊이는 실리콘 질화물 정지층에 도달하기에는 충분하지 않았으며, 따라서 이 결과는 에칭 성능을 평가하는데 흔히 사용되는 바와 같이 부분적인 에칭 처리를 나타낸다.
콘택트는 원 (604) 의 형태에서 불규칙적으로 보이는 작은 정도의 스트리에이션을 나타낸다. 순환 처리없이, 스트리에이션은 통상적으로 이 에칭 애플리케이션에서 더 열악하다.
도 7 은 PR 박리 후 에칭된 콘택트 (704) 의 프로파일 도면이다. 에칭 프로파일은 상단 근처에서 약간의 휨만을 가지며, 명백히 수직이다. 부분적인 에칭에 있어서 통상적인 바와 같이, 특징형상의 바닥 근처에 테이퍼링이 존재한다. 이러한 테이퍼링은 통상적으로, 특징형상 에칭이 완료될 때, 예를 들어 정지층이 노출될 때 제거된다. 에칭 깊이는 약 2 ㎛ 이다. 에칭 정지의 증거는 없으며, 이는 다른 콘택트보다 훨씬 적은 에칭 깊이를 나타내는 일부 콘택트로 보인다. 전반적인 이러한 에칭 결과는, 순환 에칭 처리가 적절한 에칭 프로파일, 낮은 스트리에이션 및 지속적인 에칭으로 HARC 를 에칭할 수 있음을 나타낸다. 이 예는 완전히 최적화되지는 않았지만, 본 발명이 더 뛰어난 성능을 제공할 수도 있음을 나타낸다.
바람직한 실시형태에서는 처리 장치를 변형하여, 1 초보다 작은 흐름 안정화 시간으로 고속 가스 조절를 제공하는 바람직한 처리를 제공할 수 있다. 이러한 실시형태에서는, 플라즈마가 순환 처리 (312) 의 지속시간 동안 연소 상태로 남아, 플라즈마 오프할 시간이 없다.
도 10a 및 도 10b 는 컴퓨터 시스템 (1000) 을 도시하는데, 이는 본 발명의 실시형태에 이용되는 제어기 (535) 를 구현하기에 적절하다. 도 10a 는 컴퓨터 시스템의 가능한 하나의 물리적인 형태를 도시한다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄된 회로 보드, 및 소형의 휴대용 장치로부터 거대한 슈퍼 컴퓨터에 이르는 많은 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (1000) 은 모니터 (1002), 디스플레이 (1004), 하우징 (1006), 디스크 드라이브 (1008), 키보드 (1010), 및 마우스 (1012) 를 포함한다. 디스크 (1014) 는 컴퓨터 시스템 (1000) 으로/으로부터 데이터를 전송하는데 이용되는 컴퓨터로 판독가능한 매체이다.
도 10b 는 컴퓨터 시스템 (1000) 에 대한 블록도의 일 예이다. 광범위한 서브시스템이 시스템 버스 (1020) 에 부착된다. 프로세서(들) (1022) (또한, 중앙 프로세싱 유닛, 또는 CPU 라 함) 는 메모리 (1024) 를 포함하는 저장 장치에 결합된다. 메모리 (1024) 는 랜덤 액세스 메모리 (RAM) 및 리드-온리 메모리 (ROM) 를 포함한다. 기술분야에 잘 알려진 바와 같이, ROM 은 데이터 및 명령을 CPU 에 한방향으로 전송하도록 동작하며, RAM 은 데이터 및 명령을 통상 양방향 방식으로 전송하는데 이용된다. 이러한 타입의 메모리 모두는 이하 설명하는 컴퓨터로 판독하는한 매체의 적절한 임의의 것을 포함할 수도 있다. 또한, 고정된 디스크 (1026) 는 양방향으로 CPU (1022) 에 결합되고; 고정된 디스크는 추가적인 데이터 저장공간을 제공하며 이하 설명하는 컴퓨터로 판독가능한 매체의 임의의 형태를 포함할 수도 있다. 고정된 디스크 (1026) 는 프로그램, 데이터 등을 저장하는데 이용될 수도 있으며 통상 주 저장장치보다 저속인 (하드 디스크와 같은) 제 2 저장 매체이다. 적절한 경우에 고정된 디스크 (1026) 내에 유지되는 정보는 메모리 (1024) 내의 가상 메모리로서 표준 방식으로 통합될 수도 있다. 착탈가능한 디스크 (1024) 는 이하 설명하는 컴퓨터로 판독가능한 임의의 형태를 가진다.
또한, CPU (1022) 도 디스플레이 (1004), 키보드 (1010), 마우스 (1012), 및 스피커 (1030) 와 같은 다양한 입력/출력 장치에 결합된다. 일반적으로, 입력/출력 장치는 비디오 디스플레이, 트랙 볼 (track ball), 마우스들 (mice), 키보드, 마이크로폰, 터치 감지 디스플레이, 트랜스듀서 카드 판독기, 자기 (magnetic) 또는 종이 테잎 판독기, 타블렛 (tablet), 스타일러스 (stylus), 음성 또는 필체 인식기, 생체 (biometrics) 판독기, 또는 다른 컴퓨터 중 임의의 것일 수도 있다. CPU (1022) 는 옵션으로 네트워크 인터페이스 (1040) 를 이용하여 또 다른 컴퓨터 또는 원격통신 네트워크에 결합될 수도 있다. 이러한 네트워크 인터페이스로, 전술한 방법의 단계를 수행하는 동안 네트워크로부터 정보를 수신하거나 네트워크로 정보를 출력할 수도 있다. 또한, 본 발명의 방법 실시형태는 단지 CPU (1022) 상에서만 수행되거나, 이러한 프로세싱의 일부를 공유하는 원격 CPU 에 접속된 인터넷과 같은 네트워크 상에서 수행될 수도 있다.
또한, 본 발명의 실시형태는 다양한 컴퓨터로 구현된 동작을 수행하기 위하여 컴퓨터 코드를 가지는 컴퓨터로 판독가능한 매체를 가지는 컴퓨터 저장 제품에 관한 것이다. 이러한 매체 및 컴퓨터 코드는 본 발명의 목적을 위하여 특별히 설계 및 구성된 것이거나 컴퓨터 소프트웨어 기술분야에서의 기술을 가진 자에게 잘 알려지고 이용가능한 종류일 수도 있다. 컴퓨터로 판독가능한 매체의 예들은 하드 디스크, 플로피 디스크, 및 자기 테잎과 같은 자기 매체; CD-ROM 및 홀로그래픽 (holographic) 장치와 같은 광 매체; 플롭티컬 디스크와 같은 자기-광 (magneto-optical) 매체; 및 주문형 반도체 (ASICs), 프로그래머블 논리 장치 (PLDs), 및 ROM 및 RAM 장치와 같은, 프로그램 코드를 저장 및 실행하도록 특별히 구성된 하드웨어 장치를 포함하지만 이에 한정되지는 않는다. 컴퓨터 코드의 예들은 컴파일러에 의해 생성되는 것과 같은 기계 코드 및 인터프리터 (interpreter) 를 이용하여 컴퓨터에 의해 실행되는 보다 높은 레벨의 코드를 가지는 파일을 포함한다. 또한, 컴퓨터로 판독가능한 매체는 반송파 내에 구현된 컴퓨터 데이터 신호에 의해 송신되고 프로세서에 의해 실행가능한 명령의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
본 발명을 몇몇 바람직한 실시형태의 관점에서 설명하였지만, 본 발명의 범위 내에 속하는 변경, 치환, 수정 및 다양한 대용 균등물이 존재한다. 본 발명의 방법 및 장치를 구현하는 다수의 다른 방식이 존재한다. 따라서, 첨부된 청구범위는 본 발명의 범위 및 진실된 사상에 속하는 변경, 치환, 수정 및 다양한 대용 균등물과 같은 모든 것들을 포함하는 것으로 해석되어야 한다.

Claims (19)

  1. 기판 상의 에칭 마스크를 통해 층에 특징형상 (feature) 을 에칭하는 방법으서,
    4 이상의 주기 동안 가스 조절 순환 프로세스를 수행하는 단계를 포함하며,
    상기 순환 각각은,
    적층 가스 화학물질을 가지는 제 1 가스 화학물질을 사용하여, 각 순환동안 약 0.0055 내지 7 초 내에 수행되는, 보호층 형성상 (protective layer formation phase) 을 수행하는 단계로서,
    상기 적층 가스를 제공하는 단계; 및
    상기 적층 가스로부터 플라즈마를 형성하는 단계를 포함하는, 상기 보호층 형성상을 수행하는 단계; 및
    반응 에칭 가스 화학물질을 사용하는 제 2 가스 화학물질을 사용하여 상기 에칭 마스크를 통해 상기 특징형상을 에칭하는 에칭상 (etching phase) 을 수행하는 단계로서,
    상기 제 1 가스 화학물질은 상기 제 2 가스 화학물질과 상이하고, 상기 에칭상은 각 순환동안 약 0.005 내지 14 초 내에 수행되며,
    반응 에칭 가스를 제공하는 단계; 및
    상기 반응 에칭 가스로부터 플라즈마를 형성하는 단계를 포함하는, 상기 에칭상을 수행하는 단계를 포함하는, 에칭 방법.
  2. 제 1 항에 있어서,
    상기 보호층 형성상은 100 Å 두께 미만의 층을 형성하는, 에칭 방법.
  3. 제 1 항에 있어서,
    상기 보호층 형성상은 약 1 내지 10 Å 두께 사이의 층을 형성하는, 에칭 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 에칭상은 상기 기판에 200 eV 보다 큰 이온 충격 에너지를 제공하는 단계를 포함하는, 에칭 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제 2 가스 화학물질은 중합체 형성자 (former) 및 에칭 가능자 (enabler) 를 함유하는, 에칭 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 보호층 형성상 및 상기 에칭상은 공통의 플라즈마 처리 챔버 내에서 수행되는, 에칭 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 보호층 형성상은 무지향성 적층을 사용하고, 상기 에칭 단계는 지향성 에칭을 사용하는, 에칭 방법.
  8. 제 7 항에 있어서,
    상기 무지향성 적층은 화학 증착 및 스퍼터링 (sputtering) 중 하나 이상으로부터 선택되는, 에칭 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 에칭 마스크는 193 nm 이하의 포토리소그라피 (photolithography) 에 기초한 포토레지스트 마스크인, 에칭 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 가스 조절 순환 처리를 수행하는 단계는 제 3 상을 더 포함하는, 에칭 방법.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 보호층 상의 각 애플리케이션은 아단분자층 (submonolayer) 을 형성하는, 에칭 방법.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 가스 조절 순환 처리를 조정하기 위해 상기 보호층 형성상 및 에칭상의 횟수를 조정하는 단계를 더 포함하는, 에칭 방법.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 가스 조절 순환 처리는 21 순환 이상동안 적용되는, 에칭 방법.
  14. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 가스 조절 순환 처리는 100 순환 이상동안 적용되는, 에칭 방법.
  15. 제 1 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 층이 완전히 에칭되기 전에, 상기 가스 조절 순환 처리를 정지시키는 단계; 및
    상기 층의 에칭을 완료하기 위해 비순환 에칭을 제공하는 단계를 더 포함하는, 에칭 방법.
  16. 제 1 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 각각의 순환은 약 0.01 내지 21 초 사이의 주기를 가지는, 에칭 방법.
  17. 기판 상의 에칭 마스크를 통해 층에 특징형상을 에칭하는 장치로서,
    기판이 위치될 처리 챔버;
    적층 가스 가스 화학물질의 제 1 가스 화학물질을 제공하는 제 1 가스 화학물질 소스;
    반응 에칭 가스 화학물질의 제 2 가스 화학물질을 제공하는 제 2 가스 화학물질 소스; 및
    상기 제 1 가스 화학물질 소스 및 상기 제 2 가스 화학물질 소스에 제어가능하게 접속되며, 4 순환 이상동안 가스 조절 순환 처리를 수행하는 컴퓨터 판독가능 매체를 포함하는 제어기를 구비하고,
    상기 제어기는,
    상기 적층 가스 화학물질을 가진 상기 제 1 가스 화학물질을 사용하여, 각 순환동안 약 0.0055 내지 7 초 내에 수행되는 상기 보호층 형성상을 수행하는 컴퓨터 명령들로서,
    상기 적층 가스를 제공하는 컴퓨터 명령들; 및
    상기 적층 가스로부터 플라즈마를 형성하는 컴퓨터 명령들을 포함하는, 상기 컴퓨터 명령들; 및
    반응 에칭 가스 화학물질을 사용하는 상기 제 2 가스 화학물질을 사용하여 상기 에칭 마스크를 통해 상기 특징형상을 에칭하는 에칭상을 수행하는 컴퓨터 명령들로서,
    상기 제 1 가스 화학물질은 상기 제 2 가스 화학물질과 상이하고, 상기 에칭상은 각 순환동안 약 0.005 내지 14 초 내에 수행되며,
    상기 반응 에칭 가스를 제공하는 컴퓨터 명령들; 및
    상기 반응 에칭 가스로부터 플라즈마를 형성하는 컴퓨터 명령들을 포함하는, 상기 컴퓨터 명령들을 포함하는, 에칭 장치.
  18. 제 17 항에 있어서,
    상기 제어기에 의해 제어되는 하나 이상의 RF 전원;
    상기 제어기에 의해 제어되는 하나 이상의 압력 제어 디바이스; 및
    상기 제어기에 의해 제어되는 하나 이상의 온도 제어 디바이스를 더 구비하며,
    상기 제어기는 조절 순환 처리의 상이한 상동안 상기 RF 전원으로부터의 전력을 변화시키는 컴퓨터 명령들을 더 포함하는, 에칭 장치.
  19. 적어도 3 순환동안 순환 처리를 수행하는 단계를 포함하며,
    상기 순환 각각은,
    각 순환동안 약 0.0055 초 내지 14 초 내에 수행되는 제 1 에칭상을 수행하는 단계로서,
    제 1 에칭 가스를 제공하는 단계; 및
    상기 제 1 에칭 가스로부터 플라즈마를 형성하는 단계를 포함하는, 상기 제 1 에칭상을 수행하는 단계; 및
    각 순황동안 약 0.0055 내지 14 초 내에 수행되는 제 2 에칭상을 수행하는 단계로서,
    상기 제 1 에칭 가스와는 상이한 제 2 에칭 가스를 제공하는 단계; 및
    상기 제 2 에칭 가스로부터 플라즈마를 형성하는 단계를 포함하는, 상기 제 2 에칭상을 수행하는 단계를 포함하는, 기판 상의 층을 에칭하는 방법.
KR1020057019187A 2003-04-09 2004-04-01 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법 KR101083623B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/411,520 US6916746B1 (en) 2003-04-09 2003-04-09 Method for plasma etching using periodic modulation of gas chemistry
US10/411,520 2003-04-09
PCT/US2004/010170 WO2004093176A1 (en) 2003-04-09 2004-04-01 Method for plasma etching using periodic modulation of gas chemistry

Publications (2)

Publication Number Publication Date
KR20050112125A true KR20050112125A (ko) 2005-11-29
KR101083623B1 KR101083623B1 (ko) 2011-11-16

Family

ID=33298339

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057019187A KR101083623B1 (ko) 2003-04-09 2004-04-01 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법

Country Status (8)

Country Link
US (2) US6916746B1 (ko)
EP (1) EP1611603A4 (ko)
JP (1) JP5087271B2 (ko)
KR (1) KR101083623B1 (ko)
CN (1) CN100411106C (ko)
RU (1) RU2339115C2 (ko)
TW (1) TWI365495B (ko)
WO (1) WO2004093176A1 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120098525A (ko) * 2011-02-28 2012-09-05 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 반도체 장치의 제조 방법 그리고 컴퓨터 기억 매체
KR20160042396A (ko) * 2014-10-09 2016-04-19 램 리써치 코포레이션 구리 배리어 막을 에칭하기 위한 새로운 방법
KR20170020434A (ko) * 2014-06-18 2017-02-22 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Tsv/mems/전력 장치 식각용 화학품
KR20170098721A (ko) * 2016-02-22 2017-08-30 도쿄엘렉트론가부시키가이샤 패터닝된 층의 주기적 에칭을 위한 방법
US9865472B2 (en) 2007-12-21 2018-01-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
WO2006003962A1 (ja) * 2004-07-02 2006-01-12 Ulvac, Inc. エッチング方法及び装置
US7271107B2 (en) * 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
TWI270139B (en) * 2005-12-08 2007-01-01 Promos Technologies Inc Etching method and method of fabricating deep trench
JP2007214171A (ja) * 2006-02-07 2007-08-23 Hitachi High-Technologies Corp エッチング処理方法
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7541289B2 (en) * 2006-07-13 2009-06-02 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
KR101001875B1 (ko) 2006-09-30 2010-12-17 엘지이노텍 주식회사 등방성 에칭을 이용한 미세 패턴 형성방법 및 이를 이용하여 제조된 미세패턴이 형성된 반도체 기판 면상 부재
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US20080149592A1 (en) * 2006-12-05 2008-06-26 Belen Rodolfo P Plasma etch process for controlling line edge roughness
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US7629255B2 (en) * 2007-06-04 2009-12-08 Lam Research Corporation Method for reducing microloading in etching high aspect ratio structures
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
KR100965774B1 (ko) * 2007-11-02 2010-06-24 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8227176B2 (en) * 2007-11-02 2012-07-24 Hynix Semiconductor Inc. Method for forming fine pattern in semiconductor device
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
JP5284679B2 (ja) * 2008-04-28 2013-09-11 株式会社アルバック プラズマエッチング方法
US7883829B2 (en) * 2008-08-01 2011-02-08 International Business Machines Corporation Lithography for pitch reduction
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US9018098B2 (en) * 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US8778804B2 (en) * 2009-01-30 2014-07-15 Fei Company High selectivity, low damage electron-beam delineation etch
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
US8747684B2 (en) * 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
CN101643904B (zh) * 2009-08-27 2011-04-27 北京北方微电子基地设备工艺研究中心有限责任公司 深硅刻蚀装置和深硅刻蚀设备的进气系统
CN102041508B (zh) * 2009-10-23 2012-07-25 中芯国际集成电路制造(上海)有限公司 刻蚀沟槽的方法
WO2011057047A2 (en) * 2009-11-09 2011-05-12 3M Innovative Properties Company Process for anisotropic etching of semiconductors
CN101789372A (zh) * 2010-02-11 2010-07-28 中微半导体设备(上海)有限公司 一种含硅绝缘层的等离子刻蚀方法
JP5264834B2 (ja) * 2010-06-29 2013-08-14 東京エレクトロン株式会社 エッチング方法及び装置、半導体装置の製造方法
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
RU2456702C1 (ru) * 2011-03-16 2012-07-20 Общество с ограниченной ответственностью "ЭСТО-Вакуум" Способ плазмохимического травления материалов микроэлектроники
US20120302065A1 (en) * 2011-05-26 2012-11-29 Nanya Technology Corporation Pulse-plasma etching method and pulse-plasma etching apparatus
JP2013084695A (ja) * 2011-10-06 2013-05-09 Tokyo Electron Ltd 半導体装置の製造方法
US9214622B2 (en) * 2011-10-17 2015-12-15 Stmicroelectronics, Inc. Size-controllable opening and method of making same
US8765613B2 (en) 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
US8808561B2 (en) * 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
EP2608247A1 (en) * 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9349595B2 (en) * 2012-07-11 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices
CN103578973B (zh) * 2012-07-29 2017-09-05 中国科学院微电子研究所 氮化硅高深宽比孔的循环刻蚀方法
US20140051256A1 (en) * 2012-08-15 2014-02-20 Lam Research Corporation Etch with mixed mode pulsing
CN103628075A (zh) * 2012-08-23 2014-03-12 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀方法
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9054050B2 (en) * 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
US9159561B2 (en) 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9418867B2 (en) 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
JP6373150B2 (ja) * 2014-06-16 2018-08-15 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP6549765B2 (ja) * 2014-06-16 2019-07-24 東京エレクトロン株式会社 処理方法
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US10217681B1 (en) 2014-08-06 2019-02-26 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9978606B2 (en) * 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9595451B1 (en) 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US9728421B2 (en) 2015-12-31 2017-08-08 International Business Machines Corporation High aspect ratio patterning of hard mask materials by organic soft masks
JP6584339B2 (ja) * 2016-02-10 2019-10-02 Sppテクノロジーズ株式会社 半導体素子の製造方法
CN107275202B (zh) * 2016-04-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 刻蚀方法及半导体结构的形成方法
GB201608926D0 (en) * 2016-05-20 2016-07-06 Spts Technologies Ltd Method for plasma etching a workpiece
US10497578B2 (en) 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
US9997364B2 (en) * 2016-10-19 2018-06-12 Lam Research Corporation High aspect ratio etch
KR102659567B1 (ko) * 2017-03-03 2024-04-19 램 리써치 코포레이션 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법
JP7071175B2 (ja) 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
TWI805162B (zh) 2017-04-18 2023-06-11 日商東京威力科創股份有限公司 被處理體之處理裝置
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
JP2018200925A (ja) * 2017-05-25 2018-12-20 東京エレクトロン株式会社 エッチング方法およびエッチング装置
KR102475069B1 (ko) 2017-06-30 2022-12-06 삼성전자주식회사 반도체 제조 장치, 이의 동작 방법
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
DE102018010532B4 (de) 2017-11-12 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleiterstruktur
US10529578B2 (en) 2017-11-12 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor structure
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
JP2019121750A (ja) * 2018-01-11 2019-07-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
JP2019212777A (ja) * 2018-06-05 2019-12-12 東京エレクトロン株式会社 成膜用組成物および成膜装置
JP2019212776A (ja) * 2018-06-05 2019-12-12 東京エレクトロン株式会社 成膜用組成物および成膜装置
CN112912251A (zh) * 2018-10-23 2021-06-04 Hzo股份有限公司 涂覆的基板的等离子灰化
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes
US10886136B2 (en) * 2019-01-31 2021-01-05 Tokyo Electron Limited Method for processing substrates
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
GB201917988D0 (en) * 2019-12-09 2020-01-22 Spts Technologies Ltd A semiconductor wafer dicing process
US20210391181A1 (en) * 2020-06-15 2021-12-16 Tokyo Electron Limited Forming a semiconductor device using a protective layer
US11527414B2 (en) 2020-08-18 2022-12-13 Applied Materials, Inc. Methods for etching structures with oxygen pulsing
KR20220028445A (ko) 2020-08-28 2022-03-08 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US11361971B2 (en) * 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414059A (en) 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
JP3006048B2 (ja) * 1990-07-27 2000-02-07 ソニー株式会社 ドライエッチング方法
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
DE4317623C2 (de) 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JP2674488B2 (ja) * 1993-12-01 1997-11-12 日本電気株式会社 ドライエッチング室のクリーニング方法
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
ATE251341T1 (de) * 1996-08-01 2003-10-15 Surface Technology Systems Plc Verfahren zur ätzung von substraten
DE19641288A1 (de) 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
DE19730644C1 (de) 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
KR100520148B1 (ko) * 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
JP2000208767A (ja) * 1998-11-13 2000-07-28 Seiko Epson Corp 半導体装置の製造方法
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6316169B1 (en) 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
KR100327346B1 (ko) 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
FR2797997B1 (fr) * 1999-08-26 2002-04-05 Cit Alcatel Procede et dispositif pour le traitement de substrat sous vide par plasma
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6500743B1 (en) * 2000-08-30 2002-12-31 Advanced Micro Devices, Inc. Method of copper-polysilicon T-gate formation
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6743727B2 (en) * 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US6979652B2 (en) * 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9865472B2 (en) 2007-12-21 2018-01-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
KR20120098525A (ko) * 2011-02-28 2012-09-05 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법 및 반도체 장치의 제조 방법 그리고 컴퓨터 기억 매체
KR20170020434A (ko) * 2014-06-18 2017-02-22 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Tsv/mems/전력 장치 식각용 화학품
KR20220124825A (ko) * 2014-06-18 2022-09-14 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Tsv/mems/전력 장치 식각용 화학품
KR20160042396A (ko) * 2014-10-09 2016-04-19 램 리써치 코포레이션 구리 배리어 막을 에칭하기 위한 새로운 방법
KR20170098721A (ko) * 2016-02-22 2017-08-30 도쿄엘렉트론가부시키가이샤 패터닝된 층의 주기적 에칭을 위한 방법
US10366902B2 (en) 2016-02-22 2019-07-30 Tokyo Electron Limited Methods for cyclic etching of a patterned layer
US10971373B2 (en) 2016-02-22 2021-04-06 Tokyo Electron Limited Methods for cyclic etching of a patterned layer

Also Published As

Publication number Publication date
EP1611603A4 (en) 2010-10-13
RU2005131015A (ru) 2007-04-20
TWI365495B (en) 2012-06-01
EP1611603A1 (en) 2006-01-04
JP5087271B2 (ja) 2012-12-05
TW200428515A (en) 2004-12-16
US20050136682A1 (en) 2005-06-23
WO2004093176B1 (en) 2005-01-13
CN100411106C (zh) 2008-08-13
JP2006523030A (ja) 2006-10-05
KR101083623B1 (ko) 2011-11-16
WO2004093176A1 (en) 2004-10-28
CN1802730A (zh) 2006-07-12
RU2339115C2 (ru) 2008-11-20
US6916746B1 (en) 2005-07-12

Similar Documents

Publication Publication Date Title
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6833325B2 (en) Method for plasma etching performance enhancement
KR101555397B1 (ko) 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
US20070026677A1 (en) Method for plasma etching performance enhancement
IL190716A (en) Method for plasma etching
US10763123B2 (en) Method for processing workpiece
TW201724252A (zh) 蝕刻方法
TW201021116A (en) Plasma etching method, control program and computer storage medium
CN113035708A (zh) 基片处理方法和基片处理装置
WO2006019849A1 (en) Low-k dielectric etch

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141027

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151026

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161025

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171024

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181025

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20191029

Year of fee payment: 9