KR20220028445A - 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법 - Google Patents

웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법 Download PDF

Info

Publication number
KR20220028445A
KR20220028445A KR1020200109474A KR20200109474A KR20220028445A KR 20220028445 A KR20220028445 A KR 20220028445A KR 1020200109474 A KR1020200109474 A KR 1020200109474A KR 20200109474 A KR20200109474 A KR 20200109474A KR 20220028445 A KR20220028445 A KR 20220028445A
Authority
KR
South Korea
Prior art keywords
wafer
plasma
processing apparatus
temperature
process gas
Prior art date
Application number
KR1020200109474A
Other languages
English (en)
Inventor
정찬영
최호섭
강성길
신동규
안상진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020200109474A priority Critical patent/KR20220028445A/ko
Priority to US17/184,279 priority patent/US11990348B2/en
Publication of KR20220028445A publication Critical patent/KR20220028445A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명의 기술적 사상에 따른 예시적인 실시예들에 따르면, 반도체 소자 제조 방법이 제공된다. 상기 방법은, 상기 웨이퍼 처리 장치 내에 제1 공정 가스를 공급하는 단계; 상기 웨이퍼의 온도를 낮추는 단계; 플라즈마를 생성하는 단계; 제2 공정 가스를 상기 플라즈마와 혼합시키는 단계; 상기 플라즈마 및 상기 제2 공정 가스에 기초하여 상기 웨이퍼에 플라즈마 처리를 수행하는 단계; 및 상기 웨이퍼에 어닐링 처리를 수행하는 단계를 포함하되, 상기 웨이퍼의 온도를 낮추는 단계는, 상기 웨이퍼 처리 장치 내의 압력을 증가시킬 수 있다.

Description

웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법{Wafer processing apparatus and wafer processing method using same}
본 발명은 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법에 관한 것으로서, 보다 구체적으로는, 플라즈마를 이용한 웨이퍼 건식 처리에 관한 장치 및 이를 이용한 웨이퍼 처리 방법에 관한 것이다.
반도체 디바이스 회로가 점차 고 집적화 및 고 미세화됨에 따라, 폴리 실리콘, 실리콘 산화물, 실리콘 질화물 등과 같은 물질 사이에 높은 식각 선택비를 갖는 식각 기술 및 세정 기술이 요구되고 있다. 습식 식각 기술은 파티클(Particle) 제거 능력은 우수하나, 고 종횡비 패턴에서의 표면 장력에 의해 세정 능력이 저하되고, 원자 레벨(Atomic level)의 미세 식각을 위한 선택비 제어가 어렵다는 문제점을 가지고 있다. 이온 빔 식각 등의 건식 식각 기술은, 원자 레벨의 미세 식각이 가능한 장점이 있으나, 웨이퍼에 입사되는 이온 충격(Ion Bombardment)으로 인하여 식각 후에 손상층(Damage layer)이 생성된다. 이에 따라, 이온 빔 식각 등의 건식 식각 기술은 상기 손상층을 제거하기 위한 후속 공정들이 필요하다는 문제점이 있다.
본 발명의 기술적 사상이 해결하고자 하는 과제는, 신뢰성이 제고된 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법을 제공하는 것이다.
상기 기술적 과제를 달성하기 위한 예시적인 실시예들에 따르면 웨이퍼 처리 방법이 제공된다. 상기 방법은, 웨이퍼 처리 장치에 제1 공정 가스를 공급하는 단계; 상기 웨이퍼 처리 장치 내에 배치된 웨이퍼의 온도를 낮추는 단계; 상기 제1 공정 가스에 기초하여 플라즈마를 생성하는 단계; 제2 공정 가스를 공급하고 상기 플라즈마와 혼합시키는 단계; 상기 플라즈마 및 상기 제2 공정 가스에 기초하여 상기 웨이퍼에 플라즈마 처리를 수행하는 단계; 및 상기 플라즈마 처리의 수행에 따른 부산물을 제거하도록 상기 웨이퍼에 어닐링 처리를 수행하는 단계를 포함하되, 상기 웨이퍼의 온도를 낮추는 단계는, 상기 웨이퍼 처리 장치 내의 압력을 증가시킬 수 있다.
예시적인 실시예들에 따르면, 웨이퍼 처리 방법이 제공된다. 상기 방법은, 웨이퍼 처리 장치에 F를 포함하는 제1 공정 가스를 공급하는 단계; 상기 제1 공정 가스에 RF 전력을 인가하여 플라즈마를 생성하는 단계; 상기 플라즈마에 기초하여 상기 웨이퍼 처리 장치 내의 웨이퍼에 플라즈마 처리를 수행하는 단계; 및 상기 웨이퍼에 어닐링 처리를 수행하는 단계를 포함하되, 상기 웨이퍼에 플라즈마 처리를 수행하는 단계 및 상기 웨이퍼에 어닐링 처리를 수행하는 단계는 교대로, 그리고 반복적으로 상기 웨이퍼 처리 장치 내에서 인-시튜로 수행되고, 상기 웨이퍼를 어닐링하는 단계는, 상기 웨이퍼 처리 장치 내의 압력을 낮출 수 있다.
예시적인 실시예들에 따르면, 웨이퍼 처리 방법이 제공된다. 상기 방법은, NF3에 기초하여 웨이퍼 처리 장치 내에 플라즈마를 생성하고, 생성된 상기 플라즈마에 기초하여 상기 웨이퍼 처리 장치 내의 웨이퍼에 플라즈마 처리를 수행하는 단계; 및 상기 웨이퍼에 어닐링 처리를 수행하는 단계를 포함하되, 상기 웨이퍼에 플라즈마 처리를 수행하는 단계 및 상기 웨이퍼에 어닐링 처리를 수행하는 단계는 각각 교대로, 그리고 연속적으로 상기 웨이퍼 처리 장치 내에서 수행되고, 상기 웨이퍼에 플라즈마 처리를 수행하는 단계는, 상기 웨이퍼 처리 장치 내의 압력을 증가시키고, 및 상기 웨이퍼에 어닐링 처리를 수행하는 단계는, 상기 웨이퍼 처리 장치 내의 압력을 감소시킬 수 있다.
예시적인 실시예들에 따르면, 웨이퍼 처리 장치가 제공된다. 상기 장치는, 챔버 바디; 상기 챔버 바디 내에 배치되고, 웨이퍼를 지지하는 웨이퍼 지지대; 상기 웨이퍼 지지대 상에 배치되고, 상기 웨이퍼가 처리되는 처리 영역을 정의하는 라이너; 상기 라이너 상에 배치되는 샤워 헤드; 상기 샤워 헤드 상에 배치되고 기준 전위가 인가되는 하부 전극; 상기 하부 전극 상에 배치되고 RF 전력이 인가되는 상부 전극; 상기 상부 전극 상에 배치되고, F를 포함하는 제1 공정 가스를 공급하는 제1 공정 가스 공급 장치; 상기 챔버 바디의 하부에 제공되는 압력 제어기; 상기 샤워 헤드 상에 배치되고, 상기 샤워 헤드의 온도를 제어하는 제1 히터, 상기 라이너 내에 배치되고 상기 라이너의 온도를 제어하는 제2 히터, 상기 챔버 바디 내에 배치되고, 상기 챔버 바디의 온도를 제어하는 제3 히터, 및 상기 웨이퍼 지지대 내에 배치되고, 상기 웨이퍼 지지대의 온도를 제어하는 제4 히터를 포함하되, 상기 상부 전극은, 수직 방향을 따라 서로 이격되어 배치된 제1 내지 제3 플레이트들을 포함할 수 있다.
본 발명의 기술적 사상에 따르면, 단일의 설비를 이용하여 웨이퍼에 플라즈마 처리 및 어닐링 처리를 모두 수행할 수 있다. 이에 따라, 설비 투자 비용이 절감될 수 있고, 생산 속도가 제고될 수 있다.
나아가, HF 가스를 이용하지 않고, NF3 가스에 기초하여 웨이퍼에 플라즈마 처리를 수행하는바, 생산 비용이 절감될 수 있고, 환경 파괴 및 안전 이슈를 해결할 수 있다.
본 발명을 통해 이뤄지는 기술적 효과들은 이상에서 언급한 기술적 효과들로 제한되지 않으며, 언급하지 않은 또 다른 기술적 효과들은 아래의 기재로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.
도 1은 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 단면도이다.
도 2a 내지 도 2c는 각각 순서대로 제1 내지 제3 플레이트들을 설명하기 위한 평면도들이다.
도 3은 예시적인 실시예들에 따른 웨이퍼 처리 방법을 설명하기 위한 순서도이다.
도 4는 예시적인 실시예들에 따른 웨이퍼 처리 방법을 설명하기 위한 그래프이다.
도 5는 플라즈마 처리의 수행에 대해 설명하기 위한 순서도이다.
도 6은 플라즈마 처리 및 어닐링 처리에 대해 설명하기 위해 도 1의 부분을 도시한 부분 단면도이다.
도 7은 어닐링 처리의 수행에 대해 설명하기 위한 순서도이다.
도 8은 다른 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 개략적인 단면도이다.
도 9는 다른 예시적인 실시예들에 따른 웨이퍼 처리 장치를 설명하기 위한 개략적인 단면도이다.
도 10은 예시적인 실시예들에 따른 반도체 소자 제조 방법을 설명하기 위한 순서도이다.
도 11은 예시적인 실시예들에 따른 플라즈마 처리의 효과를 설명하기 위한 그래프이다.
이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.
도 1은 예시적인 실시예들에 따른 웨이퍼 처리 장치(100)를 설명하기 위한 단면도이다.
도 1을 참조하면, 웨이퍼 처리 장치(100)는, 챔버 바디(110), 제1 공정 가스 공급 장치(121), 제2 공정 가스 공급 장치(125), 상부 전극(130), 하부 전극(140), 샤워 헤드(150), 라이너(160), 웨이퍼 지지대(170), 제1 내지 제4 히터들(H1, H2, H3, H4), 자동 압력 제어기(181), 제1 및 제2 펌프들(183, 185) 및 RF(Radio Frequency) 전원(190)을 포함할 수 있다.
웨이퍼 처리 장치(100)는 플라즈마를 생성하고, 이를 이용하여 웨이퍼(W)를 처리하기 위한 장치일 수 있다. 웨이퍼 처리 장치(100)는 웨이퍼(W)에 어닐링 처리를 수행할 수 있다. 웨이퍼 처리 장치(100)는 웨이퍼(W) 식각 공정 및 웨이퍼 세정 공정 중 어느 하나를 수행할 수 있다.
웨이퍼 처리 장치(100)는 웨이퍼(W)에 예컨대, 등방성 식각 공정을 수행할 수 있다. 일예로, 웨이퍼 처리 장치(100)는, 웨이퍼(W) 상에 형성된 실리콘 산화물을 헥사플루오르규산암모늄((NH4)2SiF6)으로 치환하고, 어닐링을 통해 상기 헥사플루오르규산암모늄을 제거하는 공정을 수행할 수 있다. 다른 예로서, 웨이퍼 처리 장치(100)는 웨이퍼(W) 상의 결정질 및/또는 비정질의 실리콘, 실리콘 질화물, 및 금속 중 어느 하나에 플라즈마 처리 및 어닐링 처리를 교대로, 그리고 반복적으로 수행해 결정질 및/또는 비정질의 실리콘, 실리콘 질화물, 및 금속 중 상기 어느 하나를 등방적으로 제거하는 공정을 수행할 수 있다.
이하에서는, NH3 및 NF3 등의 공정 가스들을 이용하여 웨이퍼(W) 상에 형성된 실리콘 산화물을 다른 물질(예컨대, 실리콘 질화물)에 대해 높은 식각 선택비로 식각하는 장치 및 공정을 중심으로 설명한다. 그러나, 당업계의 통상의 기술자는, 여기에 설명된 바에 기초하여, 결정질 및/또는 비정질의 실리콘, 실리콘 질화물 및 금속 중 어느 하나를, 결정질 및/또는 비정질의 실리콘, 실리콘 산화물, 실리콘 질화물 및 금속 중 다른 하나에 대해 높은 선택비로 식각하기 위한 장치 및 공정에 용이하게 도달할 수 있을 것이다.
챔버 바디(110)는 웨이퍼(W) 처리를 위한 내부 공간을 제공할 수 있다. 챔버 바디(110)는 상기 처리를 위한 내부 공간을 외부로부터 분리할 수 있다. 챔버 바디(110)는 높은 정밀도로 압력 및 온도 조절이 가능한 클린 룸 설비일 수 있다. 챔버 바디(110)는 제1 공정 가스(G1)에 기초하여 플라즈마가 생성되는 플라즈마 영역(PLR), 플라즈마 및 제2 공정 가스(G2)가 혼합되는 혼합 영역(MR), 웨이퍼(W)가 배치되고 처리되는 처리 영역(PRR)을 포함할 수 있다. 챔버 바디(110)는 대략 원통형상을 가질 수 있다.
챔버 바디(110)의 원통의 높이 방향을 Z 방향으로 정의하고, 이에 수직한 두 방향을 X 방향 및 Y 방향으로 정의한다. X 방향 및 Y 방향은 서로 수직할 수 있다.
상부 전극(130)의 상부에 제1 공정 가스 공급 장치(121)가 배치될 수 있다. 상기 제1 공정 가스 공급 장치(121)는 제1 공정 가스(G1)를 공급할 수 있다. 일부 실시예들에 따르면, 제1 공정 가스(G1)는 불소(F)를 포함할 수 있다. 일부 실시예들에 따르면, 제1 공정 가스(G1)는 NF3를 포함할 수 있다. 일부 실시예들에 따르면, 제1 공정 가스(G1)는, 예컨대, He 등과 같이 반응에 참여하지 않는 기체 분자들을 더 포함할 수 있다. 제1 공정 가스(G1)는 HF를 포함하지 않을 수 있다. 공급 직후의 제1 공정 가스(G1)의 온도는 상온(예컨대, 약 25℃) 정도일 수 있다.
종래의 플라즈마 식각 설비는, HF 가스를 이용하여 실리콘 산화물을 제거하였다. HF 가스는 반응성이 뛰어나다는 장점이 있으나, 인체에 치명적이기 때문에 취급에 각별한 주의가 필요하고 가스 생산 단가가 높아 생산비용이 높아지는 문제점이 있었다.
예시적인 실시예들에 따르면, HF 가스 대신 NF3 가스 이용함에 따라, HF 가스 유출에 따른 환경 파괴 및 안전 이슈를 해결하는 동시에 생산 비용을 절감할 수 있다.
제1 공정 가스(G1)는 상부 전극(130)을 통해 플라즈마 영역(PLR)으로 이동할 수 있다. 상부 전극(130)은 Z 방향으로 서로 이격된 제1 내지 제3 플레이트들(131, 133, 135)을 포함할 수 있다. 예시적인 실시예들에 따르면, 제1 내지 제3 플레이트들(131, 133, 135)을 설정된 거리로 이격시킴으로써, 제1 공정 가스(G1)가 균일하게 제공될 수 있다. 예컨대, 제1 내지 제3 플레이트들(131, 133, 135)은 제1 공정 가스(G1) 상기 제1 내지 제3 플레이트들(131, 133, 135) 사이의 공간에서 균일하게 확산될 수 있도록 Z 방향으로 이격될 수 있다.
제1 및 제2 플레이트들(131, 133)의 사이에 제1 내부 격벽(132I)이 배치될 수 있고, 제2 및 제3 플레이트들(133, 135)의 사이에 제2 내부 격벽(134I)이 배치될 수 있다. 이하에서는, 제1 및 제2 내부 격벽들(132I, 134I)가 대략 링 형의 평면 형상을 갖는 예시를 기준으로 설명하나, 이는 설명의 편의를 위한 것으로서 어떠한 의미에서도 본 발명의 기술적 사상을 제한하지 않는다. 예컨대, 제1 및 제2 내부 격벽들(132I, 134I)은 삼각형, 사각형 등과 같이 다양한 평면 형상을 가질 수 있다.
제1 내부 격벽(132I)은 제1 및 제2 플레이트들(131, 133) 사이 공간의 중앙 공간을, 상기 중앙 공간을 둘러싸는 가장자리 공간으로부터 분리할 수 있다. 여기서, 가장자리 공간은 제1 내부 격벽(132I) 및 제1 외부 격벽(132O) 사이의 공간일 수 있다. 마찬가지로, 제2 내부 격벽(134I)은 제2 및 제3 플레이트들(133, 135) 사이 공간의 중앙 공간을, 상기 중앙 공간을 둘러싸는 가장자리 부분으로부터 분리할 수 있다. 가장자리 공간은 제2 내부 격벽(134I) 및 제1 외부 격벽(134O) 사이의 공간일 수 있다.
제1 및 제2 내부 격벽들(132I, 134)의 위치에 따라, 플라즈마 영역(PLR)의 중앙 영역에 대한 제1 공정 가스(G1)의 유량 및 가장자리 영역에 대한 제1 공정 가스(G1)의 유량이 조절될 수 있다. 예컨대, 상대적으로 작은 반경의 제1 및 제2 내부 격벽들(132I, 134I)을 제공함으로써, 플라즈마 영역(PLR)의 중앙 영역에 대한 제1 공정 가스(G1)의 유량을 감소시킬 수 있고, 플라즈마 영역(PLR)의 가장자리 영역에 대한 제1 공정 가스(G1)의 유량을 증가시킬 수 있다.
다른 예로, 상대적으로 큰 반경의 제1 및 제2 내부 격벽들(132I, 134I)을 제공함으로써, 플라즈마 영역(PLR)의 중앙 영역에 대한 제1 공정 가스(G1)의 유량을 증가시킬 수 있고, 플라즈마 영역(PLR)의 가장자리 영역에 대한 제1 공정 가스(G1)의 유량을 감소시킬 수 있다.
RF 전원(180)에 의해 상부 전극(130)에 RF 전력이 인가될 수 있다. 제1 내지 제3 플레이트들(131, 133, 135)은, 제1 및 제2 내부 격벽들(132I, 134I) 및 제1 및 제2 외부 격벽들(132O, 134O)에 의해 연결되어 서로 실질적으로 동일한 전위를 가질 수 있다.
여기서, 도 2a 내지 도 2c를 참조하여 제1 내지 제3 플레이트들(131, 133, 135)의 구조에 대해 더욱 자세히 설명하도록 한다.
도 2a는 제1 플레이트(131)의 평면도이고, 도 2b는 제2 플레이트(133)의 평면도이며, 도 2c는 제3 플레이트(135)의 평면도이다. 도 2a 내지 도 2c에서, 이해를 위해 제1 및 제2 내부 격벽들(132I, 134I)의 위치가 파선으로 표시된다.
도 1 및 도 2a를 참조하면, 제1 플레이트(131)는 제1 공정 가스(G1)가 이동하기 위한 통로역할을 하는 복수의 제1 홀들(131H)을 포함할 수 있다. 일부 실시예들에 따르면, 제1 홀들(131H)은 일방향(예컨대, Y 방향)을 따라 일렬로 배치될 수 있으나, 이에 제한되지 않는다. 제1 홀들(131H) 중 일부는 제1 및 제2 내부 격벽들(132I, 134I)에 의해 둘러싸인 중앙 영역 내에 배치될 수 있고, 제1 홀들(131H) 중 다른 일부는 상기 중앙 공간의 바깥에 배치될 수 있다.
일부 실시예들에 따르면, 중앙 영역 내에 배치된 제1 홀들(131H)의 개수와 중앙 영역 바깥에 배치된 제1 홀들(131H)의 개수를 동일하게 함으로써, 제1 공정 가스(G1)의 균일도를 제고할 수 있다. 하지만 이에 제한되는 것은 아니고, 경우에 따라, 중앙 영역 내에 배치된 제1 홀들(131H)의 개수는 중앙 영역 바깥에 배치된 제1 홀들(131H)의 개수와 다를 수도 있다.
도 1 및 도 2b를 참조하면, 제2 플레이트(133)는 제1 공정 가스(G1)가 이동하기 위한 통로역할을 하는 복수의 제2 홀들(133H)을 포함할 수 있다. 일부 실시예들에 따르면, 복수의 제2 홀들(133H)은, 제2 플레이트(133)의 중심에 대해 방사상 대칭을 이루도록 배치될 수 있다. 제2 홀들(133H) 중 일부는 제1 및 제2 내부 격벽들(132I, 134I)에 의해 수평적으로 둘러싸일 수 있다. 제2 홀들(133H) 중 다른 일부는 수평적으로 제1 및 제2 내부 격벽들(132I, 134I) 및 제1 및 제2 외부 격벽들(132O, 134O)의 사이에 개재될 수 있다.
일부 실시예들에 따르면, 복수의 제2 홀들(133H)은 허니컴(honeycomb) 구조를 이루도록 배치될 수 있다. 제2 플레이트(133)의 평면을 분할하는 복수의 동일한 정육각형들의 꼭지점과 중심에 즉, 복수의 제2 홀들(133H)이 배치될 수 있다. 복수의 제2 홀들(133H)이 허니컴 구조를 이루도록 배치되는 경우, 복수의 제2 홀들(133H) 중 이웃한 제2 홀들(133H) 사이의 거리가 일정하므로, 제1 공정 가스(G1)가 플라즈마 영역(PLR)에 균일하게 제공될 수 있다. 하지만 이에 제한되는 것은 아니고, 복수의 제2 홀들(133H)은, 플라즈마 영역(PLR)에 제1 공정 가스(G1)를 균일하게 제공하기 위한 임의의 배열로 제공될 수 있다.
도 1 및 도 2c를 참조하면, 제3 플레이트(135)는 제1 공정 가스(G1)가 이동하기 위한 통로역할을 하는 복수의 제3 홀들(135H)을 포함할 수 있다. 이해를 위해, 도 2c에 제2 홀들(133H)에 의해 정의되는 육각형들의 일부가 파선으로 도시된다. 일부 실시예들에 따르면, 복수의 제3 홀들(135H)은, 제3 플레이트(135)의 중심에 대해 방사상 대칭을 이루도록 배치될 수 있다. 제3 홀들(135H) 중 일부는 제1 및 제2 내부 격벽들(132I, 134I)에 의해 수평적으로 둘러싸일 수 있다. 제3 홀들(135H) 중 다른 일부는 수평적으로 제1 및 제2 내부 격벽들(132I, 134I) 및 제1 및 제2 외부 격벽들(132O, 134O)의 사이에 개재될 수 있다.
도 1, 도 2b 및 도 2c를 참조하면, 복수의 제3 홀들(135H) 각각은 복수의 제2 홀들(133H)과 유사하게, 허니컴 구조를 이루되, 복수의 제2 홀들(133H) 각각과 Z 방향으로 중첩되지 않을 수 있다. 복수의 제3 홀들(135H) 각각은 복수의 제2 홀들(133H)과 수평으로(즉, X 방향 및 Y 방향으로) 이격될 수 있다. 복수의 제3 홀들(135H) 각각은 수평적으로 이웃한 세 개의 제2 홀들(133H)의 중심에 배치될 수 있다. 복수의 제3 홀들(135H)로부터 수평적으로 가장 가까운 세 개의 제2 홀들(133H)까지의 수평 거리는 실질적으로 동일할 수 있다. 예를 들어, 평면적 관점에서, 복수의 제3 홀들(135H) 각각은 삼각형을 이루도록 배치된 세 개의 제2 홀들(133H)의 중심에 배치될 수 있다. 이에 따라, 제2 홀들(133H)을 통과한 제1 공정 가스(G1)가 곧 바로 제3 홀들(135H)을 통해 플라즈마 영역(PLR)에 제공되지 않고, 제2 및 제3 플레이트들(133, 135) 사이에서 균일하게 확산된 이후, 플라즈마 영역(PLR)에 제공될 수 있고, 이에 따라 제1 공정 가스(G1)가 플라즈마 영역(PLR)에 균일하게 제공될 수 있다.
또한, 제2 플레이트(133)가 37개의 제2 홀들(133H)을 포함하고, 제3 플레이트(135)가 48개의 제3 홀들(135H)을 포함하는 것으로 도시되었으나, 이는 예시적인 것으로서 어떠한 의미에서도 본 발명의 기술적 사상을 제한하지 않는다. 예컨대, 제2 플레이트(133) 및 제3 플레이트(135)는 각각, 제1 공정 가스(G1)의 통로 역할을 하는 수백 개 내지 수천 개의 홀들을 포함할 수도 있다.
다시 도 1을 참조하면, 상부 전극(130) 아래에 하부 전극(140)이 배치될 수 있다. 상부 전극(130) 및 하부 전극(140)은 플라즈마가 생성되는 플라즈마 영역(PLR)을 정의할 수 있다. 플라즈마 영역(PLR)은 상부 전극(130) 및 하부 전극(140) 사이에 개재된 영역일 수 있다. 플라즈마 영역(PLR)은 플라즈마가 생성되는 영역일 수 있다. 하부 전극(140)에 기준 전위(GND)가 인가될 수 있다. 이에 따라 상부 전극(130) 및 하부 전극(140) 사이에 제공된 제1 공정 가스(G1)에 RF 전원이 인가되어 플라즈마가 생성될 수 있다.
하부 전극(140)의 아래에 샤워 헤드(150)가 배치될 수 있다. 샤워 헤드(150) 및 하부 전극(140)은 혼합 영역(MR)을 정의할 수 있다. 플라즈마 및 제1 공정 가스(G1)는 혼합 영역(MR)으로 확산될 수 있다. 제2 공정 가스 공급 장치(125)는 혼합 영역(MR)에 제2 공정 가스(G2)를 공급할 수 있다. 일부 실시예들에 따르면, 제2 공정 가스(G2)는 H를 포함할 수 있다. 일부 실시예들에 따르면, 제2 공정 가스(G2)는 NH3를 포함할 수 있다. 공급 직후의 제2 공정 가스(G2)의 온도는 상온(예컨대, 약 25℃) 정도일 수 있다.
샤워 헤드(150) 상에 제1 히터(H1)가 배치될 수 있다. 제1 히터(H1)는 대략 챔버 바디(110)의 내측 벽면을 따라 연장된 링 형상을 가질 수 있다. 제1 히터(H1)는 샤워 헤드(150)와 접할 수 있다. 일부 실시예들에 따르면, 제1 히터(H1)는 샤워 헤드(150)의 온도를 제어할 수 있다. 일부 실시예들에 따르면, 제1 히터(H1)는 샤워 헤드(150)의 온도를 설정된 온도로 일정하게 유지할 수 있다. 일부 실시예들에 따르면, 샤워 헤드(150)의 온도는 약 120℃ 내지 약 300℃의 범위에 있을 수 있다. 샤워 헤드(150)의 온도는 웨이퍼(W)의 처리에 따른 부산물의 승화 온도(예컨대, 약 110℃)보다 더 높을 수 있다.
웨이퍼(W)에 플라즈마 처리 및 어닐링 처리가 수행됨에 따라, 샤워 헤드(150)가 오염되는바, 샤워 헤드(150)는 주기적으로 세정되어야 한다. 예시적인 실시예들에 따르면, 샤워 헤드(150) 상에 샤워 헤드(150)와 분리 가능한 제1 히터(H1)를 제공함으로써, 복잡한 샤워 헤드(150)의 구조를 보다 단순화시킬 수 있고, 샤워 헤드(150)를 용이하게 세정할 수 있다.
샤워 헤드(150) 하부에 라이너(160)가 배치될 수 있고, 라이너(160)와 샤워 헤드(150)는 웨이퍼(W)가 처리되는 공정 영역(PRR)을 정의할 수 있다. 플라즈마 및 제1 및 제2 공정 가스들(G1, G2)의 혼합체는 샤워 헤드(150)를 통해 공정 영역(PRR)으로 확산될 수 있다. 웨이퍼(W)는 플라즈마 및 제1 및 제2 공정 가스들(G1, G2)의 혼합체에 의해 처리될 수 있다.
라이너(160)는 공정 영역(PRR) 하부 및 측벽을 정의할 수 있다. 라이너(160) 내부에 제2 히터(H2)가 내장될 수 있다. 제2 히터(H2)는 라이너(160)의 온도를 제어할 수 있다. 제2 히터(H2)는 라이너(160)의 온도를 설정된 온도로 일정하게 유지할 수 있다. 라이너(160)의 온도는 웨이퍼(W)의 처리에 따른 부산물의 승화 온도(예컨대, 약 110℃) 보다 더 높을 수 있다. 라이너(160)의 온도는 예를 들어, 약 120℃ 내지 약 300℃의 범위에 있을 수 있다. 라이너(160)는 하면에 형성된 복수의 슬릿 구조들을 포함할 수 있다. 플라즈마 및 제1 및 제2 공정 가스들(G1, G2)의 혼합체는 상기 복수의 슬릿 구조들을 통해 공정 영역(PRR)으로부터 챔버 바디(110)의 하부로 흘러나갈 수 있다.
웨이퍼 지지대(170)는 웨이퍼(W)를 지지할 수 있다. 웨이퍼 지지대(170)는 라이너(160) 및 샤워 헤드(150)와 함께 상기 공정 영역(PRR)의 경계를 구성할 수 있다. 웨이퍼 지지대(170)의 상면에 복수의 돌기 구조(173)가 형성되어 웨이퍼(W)와 웨이퍼 지지대(170)사이의 접촉 면적을 감소시킬 수 있다.
제3 히터(H3)는 챔버 바디(110)의 내부에 배치될 수 있다. 제3 히터(H3)는 공정 영역(PRR)의 측면을 둘러쌀 수 있다. 제3 히터(H3)는 Z 방향으로 연장될 수 있다. 제3 히터(H3)의 일부는 공정 영역(PRR)을 둘러싸도록 웨이퍼 지지대(170)의 상면보다 위에 있을 수 있다. 제3 히터(H3)의 다른 일부분은 웨이퍼 지지대(170)의 상면보다 아래에 있을 수 있다. 제3 히터(H3)는 공정 영역(PRR)을 둘러싼 부분의 챔버 바디(110)의 온도를 제어할 수 있다. 제3 히터(H3)는 공정 영역(PRR)을 둘러싼 부분의 챔버 바디(110)의 온도를 일정하게 유지할 수 있다. 공정 영역(PRR)을 둘러싼 부분의 챔버 바디(110)의 온도는 예컨대, 약 20℃ 내지 약 105℃의 범위에 있을 수 있다. 공정 영역(PRR)을 둘러싼 부분의 챔버 바디(110)의 온도는 라이너(160)의 온도보다 낮을 수 있으나 이에 제한되지 않는다. 제3 히터(H3)에 의해 공정 영역(PRR)을 둘러싼 챔버 바디(110)가 상대적으로 고온으로 유지될 수 있고, 공정 영역(PRR)이 상온 환경에 직접 노출되지 않는바, 공정 영역(PRR)의 온도가 더욱 정밀하게 제어될 수 있다.
웨이퍼 지지대(170)의 내부에 제4 히터(H4)가 삽입될 수 있다. 제4 히터(H4)는 웨이퍼 지지대(170)의 온도를 제어할 수 있다. 제4 히터(H4)는 웨이퍼 지지대(170)의 온도를 설정된 온도로 일정하게 유지할 수 있다. 웨이퍼 지지대(170)의 온도는 웨이퍼(W)의 처리에 따른 부산물의 승화 온도(예컨대, 약 110℃) 보다 더 낮을 수 있다. 웨이퍼 지지대(170)의 온도는 예를 들어, 약 20℃ 내지 약 105℃의 범위에 있을 수 있다.
챔버 바디(110) 하부에 압력 제어기(181) 및 상기 압력 제어기(181)에 연결된 제1 및 제2 펌프들(183, 185)이 배치될 수 있다. 압력 제어기(181)는 외부 제어 신호에 기초하여 웨이퍼 처리 장치(100) 내부의 압력을 제어할 수 있다. 압력 제어기(181)는 외부 제어 신호에 기초하여 제1 및 제2 펌프들(183, 185)과 연결된 밸브들 각각을 조절할 수 있다. 예시적인 실시예들에 따르면, 압력 제어기(181)는 제1 및 제2 펌프들(183, 185)과 연결된 밸브들을 조정하기 위한 명령을 수행할 수 있는 메모리 및 상기 메모리에 저장된 명령 또는 외부의 제어 신호를 처리하기 위한 프로세서를 포함할 수 있다. 상기 메모리 및 프로세서는, 하드웨어, 펌웨어, 소프트웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 예컨대, 프로세서는 워크 스테이션 컴퓨터, 데스크탑 컴퓨터, 랩 탑 컴퓨터, 태블릿 컴퓨터 등의 컴퓨팅 장치를 포함할 수 있다. 상기 메모리 및 프로세서는 단순 컨트롤러, 마이크로 프로세서, CPU, GPU 등과 같은 복잡한 프로세서, 소프트웨어에 의해 구성된 프로세서, 전용 하드웨어 또는 펌웨어를 포함할 수도 있다. 상기 메모리 및 프로세서는, 예를 들어, 범용 컴퓨터 또는 DSP(Digital Signal Process), FPGA(Field Programmable Gate Array) 및 ASIC(Application Specific Integrated Circuit) 등과 같은 애플리케이션 특정 하드웨어에 의해 구현될 수 있다.
일부 실시예들에 따르면, 상기 메모리 및 프로세서의 동작은 하나 이상의 프로세서에 의해 판독되고 실행될 수 있는 기계 판독 가능 매체 상에 저장된 명령들로서 구현될 수 있다. 여기서, 기계 판독 가능 매체는 기계(예를 들어, 컴퓨팅 장치)에 의해 판독 가능한 형태로 정보를 저장 및/또는 전송하기 위한 임의의 메커니즘을 포함할 수 있다. 예를 들어, 기계 판독 가능 매체는 ROM(Read Only Memory), RAM(Random Access Memory), 자기 디스크 저장 매체, 광학 저장 매체, 플래시 메모리 장치들, 전기적, 광학적, 음향적 또는 다른 형태의 전파 신호(예컨대, 반송파, 적외선 신호, 디지털 신호 등) 및 기타 임의의 신호를 포함할 수 있다.
상기 메모리 및 프로세서에 대해 설명한 동작, 또는 이하에서 설명하는 임의의 공정을 수행하기 위한 또한, 펌웨어, 소프트웨어, 루틴, 명령어들이 구성될 수 있다. 예컨대, 상기 메모리 및 프로세서는 플라즈마 처리 장치(100) 내의 압력을 제어하기 위한 신호를 생성하는 소프트웨어에 의해 구현될 수 있다. 하지만 이는 설명의 편의를 위한 것으로서, 상술된 메모리 및 프로세서의 동작은 컴퓨팅 장치, 프로세서, 컨트롤러 또는 펌웨어, 소프트웨어, 루틴, 명령어 등을 실행하는 다른 장치로부터 야기될 수도 있다.
제1 펌프(183)는 터보 분자 펌프일 수 있다. 터보 분자 펌프는 터보 펌프와 유사한 진공 펌프 유형으로, 진공을 확보하고 유지할 수 있다. 터보 분자 펌프는 예컨대, 빠르게 회전하는 팬 로터를 포함할 수 있다. 터보 분자 펌프는 팬 로터에 의해 기체 분자의 운동량의 크기 및 방향을 제어함으로써, 높은 진공압을 제공할 수 있다.
제2 펌프(185)는 건식 펌프(Dry pump)일 수 있다. 건식 펌프는 오일 확산 펌프(oil diffusion pump)와 달리 공정 챔버 내에 형성되는 진공을 유지하기 위해 밀봉과 윤활 기능을 하는 오일을 포함하지 않을 수 있다. 건식 펌프는 대략 10-2 mbar 정도의 진공을 제공할 수 있으며 진공의 청결도가 높은 장점이 있다. 건식 펌프는 예컨대, 클로 펌프(claw pump), 다단 루츠 펌프(multi stage roots pump), 루츠 클로 조합 펌프(roots와 claw combination pump), 스크롤 펌프(scroll pump), 스크류 펌프(screw pump), 막 펌프(diaphragm pump), 분자 드래그 펌프(molecular drag pump) 중 어느 하나일 수 있다.
도 3은 예시적인 실시예들에 따른 웨이퍼 처리 방법을 설명하기 위한 순서도이다.
도 4는 예시적인 실시예들에 따른 웨이퍼 처리 방법을 설명하기 위한 그래프이다. 보다 구체적으로, 도 4는 플라즈마 처리에서 시간에 따른 웨이퍼 처리 장치(100) 내의 압력, RF 전력, 및 웨이퍼의 온도를 나타낸다.
도 1, 도 3 및 도 4를 참조하면, 웨이퍼에 대한 처리는, P100에서 웨이퍼(W)에 플라즈마 처리를 수행하는 것 및 P200에서 웨이퍼에 어닐링 처리를 수행하는 것을 포함할 수 있다.
P200의 웨이퍼(W)에 어닐링 처리가 수행된 이후, P300에서 식각 대상(예컨대, 웨이퍼(W) 상의 실리콘 산화물)이 식각 목표량만큼 식각된 경우(yes), 웨이퍼 처리 장치(100)에 의한 웨이퍼(W) 처리를 종료하고, 웨이퍼(W)를 웨이퍼 처리 장치(100)로부터 언로드할 수 있다. P300에서, 식각 대상 물질막의 식각량이 식각 목표량보다 작은 경우(no), P100에서 다시 웨이퍼(W)에 플라즈마 처리 공정을 수행할 수 있다. 이에 따라, P100에서 웨이퍼(W)에 플라즈마 처리를 수행하는 것 및 P200에서 웨이퍼(W)에 어닐링 처리를 수행하는 것은 도 3 및 도 4에 도시된 것과 같이 교대로, 그리고 반복적으로 수행될 수 있다. P100에서 웨이퍼(W)에 플라즈마 처리를 수행하는 것 및 P200에서 웨이퍼(W)에 어닐링 처리를 수행하는 것은, 웨이퍼(W)를 별도의 설비로 이송하는 단계 없이, 웨이퍼 처리 장치(100) 내에서 인-시튜(in-situ)로, 연속적으로 수행될 수 있다. 예컨대, P100 및 P200은 동일한 챔버 내에서 수행될 수 있다.
종래의 웨이퍼 처리 장치는 웨이퍼 상의 실리콘 산화물을 제거하고, 별도의 어닐링 설비로 웨이퍼를 이동시킨 후, 상기 어닐링 설비에서 어닐링 처리를 수행하여 웨이퍼 상에 형성된 부산물을 제거하였다. 이러한 과정은 두 개의 설비가 요구되는바 설비의 복잡도를 증가시키고, 웨이퍼를 서로 다른 설비 사이에서 이동시켜야 하는바 제품의 생산성을 저하시키는 문제점이 있었다. 예시적인 실시예들에 따르면, 웨이퍼(W) 상에 플라즈마 처리를 수행한 이후, 상기 웨이퍼(W)에 어닐링 처리를 수행하기 위한 별도의 설비가 요구되지 않으므로, 설비 투자 비용이 절약될 수 있다. 또한, 웨이퍼(W)의 이송시간이 소요되지 않는바, 웨이퍼 처리 장치(100) 생산성이 제고될 수 있다.
P100에서 웨이퍼(W)에 플라즈마 처리가 수행되는 동안, 웨이퍼 처리 장치(100) 내의 압력은 제1 압력(P1)에서 제2 압력(P2)으로 상승할 수 있다.
P100의 플라즈마 처리는 실질적으로 제2 압력(P2)에서 수행될 수 있다. P100의 플라즈마 처리는 실질적으로 제2 압력(P2)에서 수행된다고 함은, 플라즈마가 생성되고, 상기 플라즈마에 의해 웨이퍼(W)가 처리되는 동안 플라즈마 처리 장치(100) 내의 압력은 실질적으로 일정하게 제2 압력(P2)으로 유지됨을 의미한다. 이하에서, 특정 처리가 수행되는 조건에 대한 설명은 P100과 상기 제2 압력(P2)에 대해 설명한 것과 유사한 의미를 갖는다.
P200에서 웨이퍼(W)에 어닐링 처리가 수행되는 동안, 웨이퍼 처리 장치(100) 내의 압력은 상기 제2 압력(P2)으로부터 상기 제1 압력(P1)으로 낮아질 수 있다. P200의 어닐링 처리는 실질적으로 제1 압력(P1)에서 수행될 수 있다.
일부 실시예들에 따르면, 제1 압력(P1)은 0.1 토르(Torr) 이하일 수 있다. 일부 실시예들에 따르면, 제2 압력(P2)은 약 0.1 토르 내지 약 10 토르의 범위에 있을 수 있다. 일부 실시예들에 따르면, 제2 압력(P2)은 제1 압력(P1)의 약 10배 내지 약 10000 배의 범위에 있을 수 있다.
P100에서 웨이퍼(W)에 플라즈마 처리가 수행되는 동안, 웨이퍼(W)의 온도는 제1 온도(T1)로부터 제2 온도(T2)로 낮아질 수 있다. P200에서 웨이퍼(W)에 어닐링 처리가 수행되는 동안, 웨이퍼(W)의 온도는 상기 제2 온도(T2)로부터 제1 온도(T1)로 상승할 수 있다. P100의 플라즈마 처리는 실질적으로 제2 온도(T2)에서 수행될 수 있고, P200의 어닐링 처리는 실질적으로 제1 온도(T1)에서 수행될 수 있다. 일부 실시예들에 따르면, 제2 온도(T2)는 약 25℃ 내지 약 110℃의 범위에 있을 수 있다. 일부 실시예들에 따르면, 제1 온도(T1)는 약 110 ℃ 내지 약 300 ℃의 범위에 있을 수 있다.
P100에서 웨이퍼(W)에 플라즈마 처리가 수행되는 구간 중 적어도 일부에서, RF 전력은 온 상태일 수 있고, P200에서 웨이퍼(W)에 어닐링 처리가 수행되는 동안 RF 전력은 오프 상태일 수 있다.
여기서 도 11을 참조하여 예시적인 실시예들에 따른 플라즈마 처리의 효과를 설명하도록 한다.
도 11은 예시적인 실시예들에 따른 플라즈마 처리의 효과를 설명하기 위한 그래프이다. 보다 구체적으로, 도 11은 플라즈마를 생성하기 위한 RF 전원(190)에 의해 공급되는 RF 전력의 크기의 변화에 따른, 사이클당 실리콘 산화물의 식각량인 제1 식각량/cyc 및 사이클 당 실리콘 질화물의 식각량인 제2 식각량/cyc의 변화들을 나타내는 그래프이다. 여기서 사이클 당 식각량은, 한번의 플라즈마 처리 및 한번의 어닐링 처리를 포함하는 하나의 사이클 동안 식각되는 물질의 양을 나타낸다.
도 11에서, 제2 실험예에서 RF 전원(190)에 의해 공급된 전력을 1로 표준화할 때, 제1 실험예에서 RF 전원(190)에 의해 공급된 전력은 약 0.75일 수 있고, 제3 실험예에서 RF 전원(190)에 의해 공급된 전력은 약 1.25일 수 있다. 도 11의 그래프에서 세로축은 각 실험예의 물질별 식각량을 나타내며, 제1 실험예의 제1 식각량/cyc의 크기가 1이 되도록 정규화되었다. 좌측의 세로축은 제1 식각량/cyc을 나타내며, 우측의 세로축은 제2 식각량/cyc를 나타낸다.
도 11을 참조하면, RF 전력의 세기가 클수록, 생성되는 플라즈마의 양이 증가하는바 제1 및 제2 식각량이 각각 증가하는 것이 확인되었다. 반대로, RF 전력의 세기가 작을수록 식각 속도는 낮아지지만 식각 선택비가 높은 것을 확인할 수 있다. 예컨대, 제1 실험예의 식각 선택비는 3210이고, 제2 실험예의 식각 선택비는 2900이며, 제3 실험예의 식각 선택비는 1022인 것이 확인되었다. 예시적인 실시예들에 따르면, RF 전원(190)의 크기를 조절함에 따라, 높은 식각 속도로 식각 공정을 수행하거나, 낮은 식각 속도에서 높은 식각 선택비로 식각 공정을 수행할 수 있다. 예시적인 실시예들에 따르면, RF 전원(190)에 기초하여 식각 속도 및 식각 선택비를 제어할 수 있는바, 플라즈마 처리 공정의 제어도(controllability)가 제고될 수 있다.
P200에서 웨이퍼(W)에 어닐링 처리가 수행되는 제2 시간(D2)의 길이는, P100에서 웨이퍼(W)에 플라즈마 처리가 수행되는 제1 시간(D1)의 길이 이상일 수 있다. 일부 실시예들에 따르면, 제1 및 제2 시간(D1, D2)의 길이는 수 초(Second) 정도일 수 있다. P100에서, 웨이퍼(W) 상에 형성된 물질막(예컨대, 실리콘 산화물)이 (NH4)2SiF6로 치환될 수 있고, P200에서 (NH4)2SiF6은 열처리를 통해 제거될 수 있다.
P100의 플라즈마 처리의 지속 시간에 비해 P200의 어닐링 처리의 지속 시간이 더 짧으면, 부산물(예컨대, (NH4)2SiF6)이 효과적으로 제거되지 않아서 식각 선택비가 낮아지는 문제점이 있다. 예시적인 실시예들에 따르면, 제2 시간(D2)의 길이를 제1 시간(D1)의 길이 이상으로 함으로써, 높은 식각 선택비를 확보할 수 있다.
예컨대, P100 및 P200에서 설명된 웨이퍼 처리 장치(100)의 압력 제어는 압력 제어기(181)에 의해 수행될 수 있고, 웨이퍼(W)의 온도는 압력 제어기(181)에 의한 플라즈마 처리 장치(100) 내의 압력 변화에 의존할 수 있다. 이에 따라, 웨이퍼(W)의 온도는 플라즈마 처리 장치(100) 내의 압력 변화에 의해 제어될 수 있다.
도 5는 P100의 플라즈마 처리의 수행에 대해 설명하기 위한 순서도이다.
도 6은 P100의 플라즈마 처리 및 P200의 어닐링 처리에 대해 설명하기 위해 도 1의 부분을 도시한 부분 단면도이다.
도 5를 참조하면, P100의 식각 공정은, P110에서 제1 공정 가스를 공급하는 것, P120에서 상부 전극에 RF 전원을 인가하여 제1 공정 가스로부터 플라즈마를 생성하는 것, P130에서 제2 공정 가스를 공급하는 것 및 P140에서 웨이퍼 상에 플라즈마를 이용한 식각 공정을 수행하는 것을 포함할 수 있다.
도 1 및 도 4 내지 도 6을 참조하면, P110에서 제1 공정 가스 공급 장치(121)는 웨이퍼 처리 장치(100) 내에 제1 공정 가스(G1)의 공급할 수 있다. 도 6에 자세히 도시된 것과 같이, 웨이퍼(W)의 온도는 주로, 샤워 헤드(150)로부터의 복사열(Qrad), 웨이퍼 처리 장치(100) 내의 분위기(atmosphere)에 대한 대류열(Qcv) 및 웨이퍼 지지대(170)에 대한 전도열(Qcd)에 의해 결정될 수 있다.
P110에서 제1 공정 가스(G1)가 공급됨에 따라, 웨이퍼 처리 장치(100) 내의 압력이 제1 압력(P1)으로부터 제2 압력(P2)으로 증가할 수 있다. 웨이퍼 처리 장치(100) 내의 압력이 증가함에 따라, 웨이퍼 처리 장치(100) 내의 분위기에 의한 대류열(Qcv)에 따른 웨이퍼(W)의 열손실이 샤워 헤드(150)로부터의 복사열(Qrad)에 의한 웨이퍼(W)의 열획득 보다 우세할 수 있다.
일부 실시예들에 따르면, 웨이퍼 처리 장치(100) 내의 분위기의 온도는 약 25℃ 내지 약 100℃의 범위에 있을 수 있다. 이에 따라, 웨이퍼(W)의 온도는 제2 온도(T2)로 하강할 수 있다.
P120에서 제1 공정 가스(G1)를 이용하여 플라즈마를 생성하는 것은 RF 전원(190)을 이용하여 상부 전극(130)에 RF 전력을 인가하는 것을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼 처리 장치(100)는 압력 센서를 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼 처리 장치(100)는 내부의 압력이 임계 압력(Pc)이상인 경우, RF 전원(190)을 이용하여 상부 전극(130)에 RF 전력을 인가할 수 있다.
보다 구체적으로, 웨이퍼 처리 장치(100) 내의 압력이 임계 압력(Pc)에 도달한 경우, RF 전력은 온'상태가 될 수 있다. 이에 따라, 제1 공정 가스(G1)가 충분히 제공되기 전에 RF 전력이 오프 상태를 유지하므로, 전력 소모가 절감될 수 있다. 하지만 이에 제한되는 것은 아니고, RF 전원(190)에 의한 RF 전력의 인가는 제1 공정 가스(G1)의 공급과 실질적으로 동시에 수행될 수 있다.
P130에서 제2 공정 가스 공급 장치(125)는 웨이퍼 처리 장치(100) 내에 제2 공정 가스(G2)를 공급할 수 있다. 일부 실시예들에 따르면, 제2 공정 가스(G2)는 RF 전원(190)에 의한 RF 전력의 인가와 실질적으로 동시에 제공될 수 있다. 다른 일부 실시예들에 따르면, 제2 공정 가스(G2)는 RF 전원(190)에 의한 RF 전력의 인가로부터 설정된 시간 경과 후에 제공될 수 있다. 다른 일부 실시예들에 따르면, 제2 공정 가스(G2)는 제1 공정 가스(G1)와 실질적으로 동시에 제공될 수도 있다.
이어서 P140에서 웨이퍼(W)에 식각 공정을 수행할 수 있다. 상기 식각 공정은 P120에서 생성된 플라즈마 및 P130에서 공급된 제2 공정 가스(G2)에 기초하여 수행될 수 있다. P120에서 생성된 플라즈마 및 P130에서 공급된 제2 공정 가스(G2)는 하기의 화학식 1에 따라 웨이퍼(W) 상의 실리콘 산화물과 반응하여 (NH4)2SiF6이 생성될 수 있다.
[화학식 1]
Figure pat00001
화학식 1에 따른 화학 반응은 저온에서 더욱 활발히 수행되는바, 예시적인 실시예들에 따른 웨이퍼 처리 장치(100)는 효과적으로 웨이퍼(W)를 처리할 수 있다.
도 7은 P200의 어닐링 처리의 수행에 대해 설명하기 위한 순서도이다.
도 1, 도 4, 도 6 및 도 7을 참조하면, P200은, P210에서 웨이퍼 처리 장치(100) 내의 압력을 하강시키는 것 및 P220에서 웨이퍼에 어닐링 처리를 수행하는 것을 포함할 수 있다. P210에서 웨이퍼 처리 장치(100) 내의 압력의 하강은 압력 제어기(181)에 의해 수행될 수 있다. P210에서, 제1 및 제2 공정 가스들(G1, G2)은 웨이퍼 처리 장치(100) 내로 제공되지 않을 수 있다.
P210에서 웨이퍼 처리 장치(100) 내의 압력이 제1 압력(P1)으로부터 제2 압력(P2)으로 감소할 수 있고, 웨이퍼 지지대(170)에 의한 전도열(Qcd)에 따른 열 손실 및 웨이퍼 처리 장치(100) 내의 분위기에 의한 대류열(Qcv)에 따른 웨이퍼(W)의 열 손실보다 샤워 헤드(150)로부터의 복사열(Qrad)에 의한 웨이퍼(W)의 열 획득이 더 우세할 수 있다. 이에 따라, 웨이퍼(W)의 온도는 제2 온도(T2)에서 제1 온도(T1)으로 상승할 수 있다.
웨이퍼(W)의 온도가 제1 온도(T1)로 상승함에 따라, P220에서 웨이퍼(W)에 어닐링 처리가 수행될 수 있다. P100에서 생성된 (NH4)2SiF6은 하기의 화학식 2를 따라서 P220의 웨이퍼(W)에 어닐링 처리에 의해 제거될 수 있다.
[화학식 2]
Figure pat00002
도 8은 다른 예시적인 실시예들에 따른 웨이퍼 처리 장치(200)를 설명하기 위한 개략적인 단면도이다.
설명의 편의상 도 1 내지 도 2c를 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 8을 참조하면, 웨이퍼 처리 장치(200)는, 챔버 바디(110), 제1 공정 가스 공급 장치(121), 제2 공정 가스 공급 장치(125), 유도성 소자(210), 제1 및 제2 가스 분배 구조들(230, 240), 샤워 헤드(150), 라이너(160), 웨이퍼 지지대(170), 제1 내지 제4 히터들(H1, H2, H3, H4), 자동 압력 제어기(181), 제1 워 및 제2 펌프들(183, 185) 및 RF 전원(190)을 포함할 수 있다.
웨이퍼 처리 장치(200)는, ICP(Inductively Coupled Plasma) 설비일 수 있다. 웨이퍼 처리 장치(200)는 도 1의 웨이퍼 처리 장치(100)와 유사하되, 상부 전극(130) 및 하부 전극(140)을 포함하지 않고, 제1 및 제2 가스 분배 구조들(230, 240) 및 유도성 소자(210)를 포함할 수 있다.
제1 가스 분배 구조(230)는 Z 방향으로 이격된 제1 내지 제3 플레이트들(231, 233, 235) 및 그 사이의 제1 및 제2 내부 격벽들(232I, 234I) 및 제1 및 제2 외부 격벽들(232O, 234O)을 포함할 수 있다. 제1 가스 분배 구조(230)는 도 1의 상부 전극(130)과 유사한 구조를 갖되, RF 전력이 인가되지 않을 수 있다. 제1 공정 가스(G1)는 제1 가스 분배 구조(230)에 의해, 플라즈마 영역(PLR)으로 균일하게 제공될 수 있다.
제2 가스 분배 구조(240)는 도 1의 하부 전극(140)과 유사한 구조를 갖되, 기준 전위가 인가되지 않을 수 있다.
유도성 소자(210)는 플라즈마 영역(PLR)을 수평적으로 둘러쌀 수 있다. 유도성 소자(210)는 예컨대, 코일일 수 있다. RF 전원(190)은 유도성 소자(210)에 RF 전력을 제공할 수 있다. 유도성 소자(210)는 상기 RF 전력 및 제1 공정 가스(G1)에 기초하여 플라즈마를 생성할 수 있다.
도 9는 다른 예시적인 실시예들에 따른 웨이퍼 처리 장치(200)를 설명하기 위한 개략적인 단면도이다.
설명의 편의상 도 1 내지 도 2c를 참조하여 설명한 것과 중복되는 것을 생략하고 차이점을 위주로 설명하도록 한다.
도 9를 참조하면, 웨이퍼 처리 장치(300)는, 챔버 바디(110), 제1 공정 가스 공급 장치(121), 제2 공정 가스 공급 장치(125), 도파로(310), 제1 및 제2 가스 분배 구조들(330, 340), 샤워 헤드(150), 라이너(160), 웨이퍼 지지대(170), 제1 내지 제4 히터들(H1, H2, H3, H4), 자동 압력 제어기(181) 및 제1 및 제2 펌프들(183, 185)을 포함할 수 있다.
웨이퍼 처리 장치(300)는, 마이크로파 플라즈마 설비일 수 있다. 웨이퍼 처리 장치(300)는 도 1의 웨이퍼 처리 장치(100)와 유사하되, 상부 전극(130) 및 하부 전극(140), 및 RF 전원(190)을 포함하지 않고, 도파로(310) 및 제1 및 제2 가스 분배 구조들(330, 340)을 포함할 수 있다.
제1 및 제2 가스 분배 구조들(330, 340)은 도 8의 제1 및 제2 가스 분배 구조들(230, 240)과 실질적으로 동일하다.
도파로(310)는 외부에서 발생한 마이크로 파를 플라즈마 영역(PLR)로 도입할 수 있다. 도파로(310)에 의해 도입되는 마이크로 파는 예컨대, 패치 안테나, 다이폴 안테나, 모노폴 안테나, 마이크로스트립 안테나, 슬롯 안테나, 야기 안테나 등에 의해 발생할 수 있다. 예시적인 실시예들에 따르면, 플라즈마는 외부의 마이크로파에 의해 생성되는바 RF 전원(도 1의 190 참조)은 생략될 수 있다.
도 10은 예시적인 실시예들에 따른 반도체 소자 제조 방법을 설명하기 위한 순서도이다.
도 1 및 도 8 내지 도 10을 참조하면, P1010에서 웨이퍼(W)를 웨이퍼 처리 장치(100, 200, 300)에 로드할 수 있다.
웨이퍼(W)는 예를 들면, 실리콘(Si, silicon)을 포함할 수 있다. 웨이퍼(W)는 게르마늄(Ge, germanium)과 같은 반도체 원소, 또는 SiC (silicon carbide), GaAs(gallium arsenide), InAs (indium arsenide), 및 InP (indium phosphide)와 같은 화합물 반도체를 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 SOI (silicon on insulator) 구조를 가질 수 있다. 웨이퍼(W)는 매립 산화물 층(buried oxide layer)을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 도전 영역, 예컨대, 불순물이 도핑된 웰(well)을 포함할 수 있다. 일부 실시예들에 따르면, 웨이퍼(W)는 상기 도핑된 웰을 서로 분리하는 STI(shallow trench isolation)와 같은 다양한 소자분리 구조를 가질 수 있다. 웨이퍼(W)는 활성면인 제1 면 및 제1 면에 반대되는 비활성면인 제2 면을 가질 수 있다. 웨이퍼(W)는 제2 면이 웨이퍼 지지대(170)와 대향하도록 웨이퍼 지지대(170) 상에 배치될 수 있다.
웨이퍼(W)는 일련의 공정이 수행된 웨이퍼일 수 있다. 웨이퍼(W) 상에 수행될 수 있는 일련의 공정은, i) 산화막을 형성하기 위한 산화 공정, ii) 스핀 코팅, 노광 및 현상을 포함하는 리소그래피 공정, iii) 박막 퇴적 공정, iv) 건식 또는 습식 식각 공정 및 v)금속 배선 공정 등을 포함한다.
산화공정은 800에서 1200도의 고온에서 산소나 수증기를 실리콘 기판 표면과 화학 반응시켜 얇고 균일한 실리콘 산화막을 형성시키는 공정이다. 산화 공정은 건식 산화, 습식 산화를 포함할 수 있다. 건식 산화는 산소 기체와 반응 시켜 산화막을 형성할 수 있고, 습식 산화는 산소와 수증기를 반응시켜 산화막을 형성할 수 있다.
일부 실시예들에 따르면, 산화 공정에 의해 기판 상에 SOI(silicon on insulator) 구조가 형성될 수 있다. 기판은 매립 산화물 층(buried oxide layer)을 포함할 수도 있다. 일부 실시예들에 따르면, 기판은 STI(shallow trench isolation)와 같은 다양한 소자분리 구조를 가질 수 있다.
리소그래피 공정은 리소그래피 마스크에 미리 형성해둔 회로 패턴을 노광을 통해 기판에 전사시키는 공정이다. 리소그래피 공정은 스핀 코팅, 노광 및 현상 공정의 순서로 수행될 수 있다.
박막 퇴적 공정은, 예를 들어, ALD(Atomic layer deposition), CVD(Chemical vapor deposition), PECVD(plasma-enhanced CVD), MOCVD(Metal Organic CVD), PVD(physical vapor deposition), 반응성 펄스 레이저 퇴적법(reactive pulsed laser deposition), 분자 빔 에피택시(molecular beam epitaxy) 및 DC 마그네트론 스퍼터링(magnetron sputtering) 중 어느 하나일 수 있다.
건식 식각 공정은, 예를 들어, RIE(reactive ion etching), DRIE(Deep RIE), IBE(ion beam etching) 및 Ar 밀링(milling) 중 어느 하나일 수 있다. 다른 예로, 웨이퍼(W)에 수행될 수 있는 건식 식각 공정은, ALE(Atomic Layer Etching)일 수 있다. 또한, 웨이퍼(W)에 수행될 수 있는 습식 식각 공정은, Cl2, HCl, CHF3, CH2F2, CH3F, H2, BCL3, SiCl4, Br2, HBr, NF3, CF4, C2F6, C4F8, SF6, O2, SO2 및 COS 중 적어도 어느 하나를 에천트 가스로 하는 식각 공정일 수 있다.
금속 배선 공정은 반도체 소자의 동작을 위한 회로 패턴을 구현하기 위해 도전성 배선(금속 선)을 형성하는 공정일 수 있다. 금속 배선 공정에 의해, 반도체 소자들을 동작시키기 위한 그라운드, 파워 및 신호의 전달 경로들이 형성될 수 있다. 금속 배선은 금, 백금, 은, 알루미늄 및 텅스텐 등을 포함할 수 있다.
일부 실시예들에 따르면, 반도체 소자 형성 공정에서, 화학 기계적 연마(Chemical Mechanical Polish: CMP) 공정과 같은 평탄화 공정, 이온 주입 공정, 등이 수행되는 것도 가능하다.
웨이퍼(W)는 정교한 클린 룸 이송 시스템을 포함하는 운송 장치에 의해 이송될 수 있다. 운송 장치는 컨베이어 시스템 등을 포함할 수 있다. 운송 장치는 웨이퍼(W)를 웨이퍼 처리 장치(100, 200, 300)에 로드할 수 있다. 경우에 따라, 운송 장치는 웨이퍼(W)를 웨이퍼 처리 장치(100, 200, 300)에 인접한 로드 포트에 로드하고, 별도의 로봇 암에 의해 웨이퍼(W)가 웨이퍼 처리 장치(100, 200, 300)에 로드될 수도 있다.
이어서, P1020에서 웨이퍼(W)를 처리할 수 있다. 웨이퍼(W)의 처리는 도 3 내지 도 7을 참조하여 설명한 것과 같다.
웨이퍼(W)의 처리가 완료된 후, P1030에서, 웨이퍼 처리 장치(100, 200, 300)로부터 웨이퍼(W)를 언로드할 수 있다. 언로드된 웨이퍼(W)는 후속 공정을 위한 설비에 투입될 수 있다. 상기 후속 공정은, 산화 공정, 리소그래피 공정, 박막 퇴적 공정, 건식 또는 습식 식각 공정 및 금속 배선 공정에 더해, EDS 공정, 패키징 공정 및 패키지 테스트 공정을 포함할 수 있다.
EDS 공정은, 웨이퍼(W)에 형성된 반도체 소자들에 전기적 신호를 인가하고, 인가된 전기적 신호에 대응하여 반도체 소자들에서 출력되는 신호에 의해 반도체 소자들의 불량 여부를 결정하기 위한 공정을 지칭한다.
패키징 공정은, 웨이퍼 백그라인딩 공정, 웨이퍼 쏘잉 공정, 다이 어태치 공정, 와이어 본딩 공정, 몰딩 공정, 마킹 공정, 솔더 볼 마운트 공정, 개별화 공정을 포함할 수 있다.
패키지 테스트는 어셈블리 아웃, DC 테스트, 번인 테스트, 모니터링 번인 테스트, 포스트 번 인 테스트 및 최종 테스트 등을 포함할 수 있다.
이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 개시의 진정한 기술적 보호범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.

Claims (20)

  1. 웨이퍼 처리 장치 내에 웨이퍼를 로드하는 단계; 및
    상기 웨이퍼 처리 장치 내의 상기 웨이퍼를 처리하는 단계를 포함하되,
    상기 웨이퍼를 처리하는 단계는,
    상기 웨이퍼 처리 장치 내에 제1 공정 가스를 공급하는 단계;
    상기 웨이퍼의 온도를 낮추는 단계;
    상기 제1 공정 가스에 기초하여 플라즈마를 생성하는 단계;
    제2 공정 가스를 공급하고 상기 플라즈마와 혼합시키는 단계;
    상기 플라즈마 및 상기 제2 공정 가스에 기초하여 상기 웨이퍼에 플라즈마 처리를 수행하는 단계; 및
    상기 플라즈마 처리가 수행된 상기 웨이퍼에 어닐링 처리를 수행하는 단계를 포함하되,
    상기 웨이퍼의 온도를 낮추는 단계는, 상기 웨이퍼 처리 장치 내의 압력을 증가시키는 것을 특징으로 하는 반도체 소자 제조 방법.
  2. 제1항에 있어서,
    상기 웨이퍼의 온도를 낮추는 단계는, 상기 웨이퍼의 온도를 110℃ 이하로 낮추는 것을 특징으로 하는 반도체 소자 제조 방법.
  3. 제1항에 있어서,
    상기 웨이퍼의 온도를 낮추는 단계는, 상기 웨이퍼 처리 장치 내의 압력을 0.1 토르(Torr) 내지 10 토르의 범위로 상승시키는 것을 특징으로 하는 반도체 소자 제조 방법.
  4. 제1항에 있어서,
    상기 플라즈마 처리를 수행하는 단계에서 상기 웨이퍼의 온도는, 상기 어닐링 처리를 수행하는 단계에서 상기 웨이퍼의 온도보다 낮고,
    상기 플라즈마 처리를 수행하는 단계에서 상기 웨이퍼 처리 장치 내의 압력은, 상기 어닐링 처리를 수행하는 단계에서 상기 웨이퍼 처리 장치 내의 압력보다 높은 것을 특징으로 하는 반도체 소자 제조 방법.
  5. 제1항에 있어서,
    상기 어닐링 처리를 수행하는 단계의 지속 시간은 상기 플라즈마 처리를 수행하는 단계의 지속시간 이상인 것을 특징으로 하는 반도체 소자 제조 방법.
  6. 제1항에 있어서,
    상기 어닐링 처리를 수행하는 단계 및 상기 플라즈마 처리를 수행하는 단계는 인-시튜(in-situ)로, 연속적으로 수행되는 것을 특징으로 하는 반도체 소자 제조 방법.
  7. 웨이퍼 처리 장치에 F를 포함하는 제1 공정 가스를 공급하는 단계;
    상기 제1 공정 가스에 RF 전력을 인가하여 플라즈마를 생성하는 단계;
    상기 플라즈마에 기초하여 상기 웨이퍼 처리 장치 내의 웨이퍼에 플라즈마 처리를 수행하는 단계; 및
    상기 웨이퍼에 어닐링 처리를 수행하는 단계를 포함하되,
    상기 웨이퍼에 플라즈마 처리를 수행하는 단계 및 상기 웨이퍼에 어닐링 처리를 수행하는 단계는 교대로, 그리고 반복적으로 상기 웨이퍼 처리 장치 내에서 인-시튜로 수행되고,
    상기 웨이퍼를 어닐링하는 단계는, 상기 웨이퍼 처리 장치 내의 압력을 낮추는 것을 특징으로 하는 웨이퍼 처리 방법.
  8. 제7항에 있어서,
    상기 어닐링 처리를 수행하는 단계는, 상기 웨이퍼의 온도를 상승시키는 것을 특징으로 하는 웨이퍼 처리 방법.
  9. 제7항에 있어서,
    상기 어닐링 처리를 수행하는 단계는, 상기 웨이퍼와 상기 제1 공정 가스 사이의 열 대류가 감소하도록 상기 웨이퍼 처리 장치 내의 압력을 낮추는 것을 특징으로 하는 웨이퍼 처리 방법.
  10. 제7항에 있어서,
    상기 어닐링 처리를 수행하는 단계의 지속 시간은, 상기 플라즈마 처리를 수행하는 단계의 지속 시간 이상인 것을 특징으로 하는 웨이퍼 처리 방법.
  11. 제7항에 있어서,
    상기 웨이퍼를 플라즈마 처리하는 단계 이전에,
    상기 웨이퍼와 상기 제1 및 제2 공정 가스들 사이의 열 대류가 증가되도록 상기 웨이퍼 처리 장치 내의 압력을 증가시키는 단계를 더 포함하는 것을 특징으로 하는 웨이퍼 처리 방법.
  12. NF3에 기초하여 웨이퍼 처리 장치 내에 플라즈마를 생성하고, 생성된 상기 플라즈마에 기초하여 상기 웨이퍼 처리 장치 내의 웨이퍼에 플라즈마 처리를 수행하는 단계; 및
    상기 웨이퍼에 어닐링 처리를 수행하는 단계를 포함하되,
    상기 웨이퍼에 플라즈마 처리를 수행하는 단계 및 상기 웨이퍼에 어닐링 처리를 수행하는 단계는 각각 교대로, 그리고 연속적으로 상기 웨이퍼 처리 장치 내에서 수행되고,
    상기 웨이퍼에 플라즈마 처리를 수행하는 단계는, 상기 웨이퍼 처리 장치 내의 압력을 증가시키고, 및
    상기 웨이퍼에 어닐링 처리를 수행하는 단계는, 상기 웨이퍼 처리 장치 내의 압력을 감소시키는 것을 특징으로 하는 웨이퍼 처리 방법.
  13. 제12항에 있어서,
    상기 플라즈마 처리를 수행하는 단계는, 상기 웨이퍼의 온도를 낮추는 것을 특징으로 하는 웨이퍼 처리 방법.
  14. 제12항에 있어서,
    상기 플라즈마 처리를 수행하는 단계에서, 상기 웨이퍼 상의 실리콘 산화물은 부산물로 치환되고,
    상기 어닐링 처리를 수행하는 단계에서, 상기 플라즈마 처리를 수행하는 단계에 의해 생성된 상기 부산물이 제거되는 것을 특징으로 하는 웨이퍼 처리 방법.
  15. 제14항에 있어서,
    상기 어닐링 처리를 수행하는 단계는, 상기 부산물의 승화 온도 이상으로 상기 웨이퍼의 온도를 증가시키는 것을 특징으로 하는 웨이퍼 처리 방법.
  16. 챔버 바디;
    상기 챔버 바디 내에 배치되고, 웨이퍼를 지지하는 웨이퍼 지지대;
    상기 웨이퍼 지지대 상에 배치되고, 상기 웨이퍼가 처리되는 처리 영역을 정의하는 라이너;
    상기 라이너 상에 배치되는 샤워 헤드;
    상기 샤워 헤드 상에 배치되고 기준 전위가 인가되는 하부 전극;
    상기 하부 전극 상에 배치되고 RF 전력이 인가되는 상부 전극;
    상기 상부 전극 상에 배치되고, F를 포함하는 제1 공정 가스를 공급하도록 구성된 제1 공정 가스 공급 장치;
    상기 챔버 바디의 하부에 제공되는 압력 제어기;
    상기 샤워 헤드 상에 배치되고, 상기 샤워 헤드의 온도를 제어하는 제1 히터;
    상기 라이너 내에 배치되고 상기 라이너의 온도를 제어하는 제2 히터;
    상기 챔버 바디 내에 배치되고, 상기 챔버 바디의 온도를 제어하는 제3 히터; 및
    상기 웨이퍼 지지대 내에 배치되고, 상기 웨이퍼 지지대의 온도를 제어하는 제4 히터를 포함하되,
    상기 상부 전극은, 수직 방향을 따라 서로 이격되어 배치된 제1 내지 제3 플레이트들을 포함하는 것을 특징으로 웨이퍼 처리 장치.
  17. 제16항에 있어서,
    상기 제1 플레이트는 복수의 제1 홀들을 포함하고,
    상기 제2 플레이트는, 상기 제2 플레이트의 전면에 걸쳐 균일하게 위치한 복수의 제2 홀들을 포함하며, 및
    상기 제3 플레이트는 상기 제3 플레이트의 전면에 걸쳐 균일하게 위치한 복수의 제3 홀들을 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  18. 제17항에 있어서,
    상기 복수의 제1 홀들 각각은 상기 복수의 제2 홀들 각각과 수평 방향으로 이격되고,
    상기 복수의 제2 홀들 각각은 상기 복수의 제3 홀들 각각과 수평 방향으로 이격되는 것을 특징으로 하는 웨이퍼 처리 장치.
  19. 제16항에 있어서,
    상기 상부 전극은,
    상기 제1 및 제2 플레이트 사이에 개재되고, 상기 제1 및 제2 플레이트들의 중심과 수직으로 중첩되는 제1 중앙 영역을, 상기 제1 중앙 영역을 둘러싸는 제1 가장자리 영역과 분리하는 제1 내부 격벽; 및
    상기 제2 및 제3 플레이트 사이에 개재되고, 상기 제2 및 제3 플레이트들의 중심과 수직으로 중첩되는 제2 중앙 영역을, 상기 제2 중앙 영역을 둘러싸는 제2 가장자리 영역과 분리하는 제2 내부 격벽을 포함하는 것을 특징으로 하는 웨이퍼 처리 장치.
  20. 제16항에 있어서,
    상기 챔버 바디의 온도는 상기 라이너 및 상기 웨이퍼 지지대의 온도보다 낮은 것을 특징으로 하는 웨이퍼 처리 장치.
KR1020200109474A 2020-08-28 2020-08-28 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법 KR20220028445A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020200109474A KR20220028445A (ko) 2020-08-28 2020-08-28 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
US17/184,279 US11990348B2 (en) 2020-08-28 2021-02-24 Wafer processing apparatus and wafer processing method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200109474A KR20220028445A (ko) 2020-08-28 2020-08-28 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법

Publications (1)

Publication Number Publication Date
KR20220028445A true KR20220028445A (ko) 2022-03-08

Family

ID=80358914

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200109474A KR20220028445A (ko) 2020-08-28 2020-08-28 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법

Country Status (2)

Country Link
US (1) US11990348B2 (ko)
KR (1) KR20220028445A (ko)

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030000361A (ko) 2001-06-23 2003-01-06 서동은 탈·부착이 가능한 다리를 구비한 동물 마네킨
KR20030000362A (ko) 2001-06-23 2003-01-06 김양신 온라인게임에서의 아이템매매방법 및 시스템
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7718032B2 (en) 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
JP5210191B2 (ja) 2009-02-03 2013-06-12 東京エレクトロン株式会社 窒化珪素膜のドライエッチング方法
US10658161B2 (en) 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
JP5956933B2 (ja) 2013-01-15 2016-07-27 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8801952B1 (en) * 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
KR101895557B1 (ko) 2016-04-05 2018-09-06 주식회사 테스 실리콘산화막의 선택적 식각 방법
US20170345673A1 (en) 2016-05-29 2017-11-30 Tokyo Electron Limited Method of selective silicon oxide etching
KR102003361B1 (ko) 2017-09-19 2019-07-24 무진전자 주식회사 인시튜 건식 세정 방법 및 장치
KR102003362B1 (ko) 2017-11-30 2019-10-17 무진전자 주식회사 고 선택적 실리콘 산화물 제거를 위한 건식 세정 장치 및 방법
KR102044763B1 (ko) 2018-08-22 2019-11-15 무진전자 주식회사 고 선택적 실리콘 산화물 제거를 위한 건식 세정 방법
KR102140722B1 (ko) 2018-08-22 2020-08-04 무진전자 주식회사 대기압 플라즈마와 스팀을 이용한 건식 세정 장치 및 방법
WO2020046547A1 (en) 2018-08-31 2020-03-05 Mattson Technology, Inc. Oxide removal from titanium nitride surfaces

Also Published As

Publication number Publication date
US11990348B2 (en) 2024-05-21
US20220068659A1 (en) 2022-03-03

Similar Documents

Publication Publication Date Title
US8932947B1 (en) Methods for forming a round bottom silicon trench recess for semiconductor applications
US9735021B2 (en) Etching method
US11328904B2 (en) Substrate processing apparatus
US20090014127A1 (en) Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US10147618B2 (en) Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US9640385B2 (en) Gate electrode material residual removal process
JP2010118549A (ja) プラズマエッチング方法及びプラズマエッチング装置
US10128337B2 (en) Methods for forming fin structures with desired profile for 3D structure semiconductor applications
JP2011530170A (ja) チャンバのプラズマ洗浄プロセス方法
KR20220028445A (ko) 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
KR102471380B1 (ko) 인 시추 하드 마스크 제거 방법
JP2005123550A (ja) 異方性エッチング方法
US20170229315A1 (en) Integrated layer etch system with multiple type chambers
US20160172212A1 (en) Plasma processing method
US20240120213A1 (en) Substrate processing apparatus, substrate processing method and method of fabricating semiconductor device
US20240105457A1 (en) Substrate processing apparatus, substrate processing method, and method of fabricating semiconductor device
KR20150116003A (ko) 기판 처리 장치, 기판 처리 설비, 그리고 기판 처리 방법
US20230307217A1 (en) Operation method of etching apparatus and method of manufacturing semiconductor device using the same
US20240212992A1 (en) Plasma processing apparatus and method
US20240038505A1 (en) Plasma processing apparatus
KR20240049131A (ko) 기판 처리 장치, 기판 처리 방법 및 반도체 소자의 제조 방법
US20230298929A1 (en) Thin shadow ring for low-tilt trench etching
JPH04364725A (ja) ウエハ処理装置
US20240105470A1 (en) Substrate processing apparatus and semiconductor device manufacturing method using the same
TW202405238A (zh) 在環境受控的製程腔室中對半導體晶圓進行固化的方法

Legal Events

Date Code Title Description
A201 Request for examination