JP2006523030A - ガス化学反応の周期的変調を用いたプラズマエッチング方法 - Google Patents
ガス化学反応の周期的変調を用いたプラズマエッチング方法 Download PDFInfo
- Publication number
- JP2006523030A JP2006523030A JP2006509625A JP2006509625A JP2006523030A JP 2006523030 A JP2006523030 A JP 2006523030A JP 2006509625 A JP2006509625 A JP 2006509625A JP 2006509625 A JP2006509625 A JP 2006509625A JP 2006523030 A JP2006523030 A JP 2006523030A
- Authority
- JP
- Japan
- Prior art keywords
- gas
- etching
- phase
- etch
- protective layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
Abstract
【解決手段】ガス変調サイクリックプロセスは3サイクルより多く実行される。それぞれのサイクルは、堆積ガス化学物質を持つ第1ガス化学物質を用いて保護層形成フェーズを実行することを含み、前記保護層形成フェーズはそれぞれのフェーズについて約0.0055から7秒のあいだ実行される。前記保護層形成フェーズを実行することは、前記堆積ガスを提供すること、および前記堆積ガスからプラズマを形成することを含む。それぞれのサイクルは、前記エッチングマスクを通して第2ガス化学物質を用いて反応性エッチングガス化学反応を用いて前記形状をエッチングするためのエッチングフェーズを実行することをさらに含み、前記第1ガス化学物質は前記第2ガス化学物質とは異なり、前記エッチングフェーズはそれぞれのフェーズについて約0.005から14秒のあいだ実行される。それぞれのエッチングフェーズを実行することは、反応性エッチングガスを提供すること、および前記反応性エッチングガスからプラズマを形成することを含む。
Description
Claims (19)
- 基板上をエッチングマスクを通して層中の形状をエッチングする方法であって、
ガス変調サイクリックプロセスを3サイクルより多く実行すること
を含み、それぞれのサイクルは、
堆積ガス化学物質を持つ第1ガス化学物質を用いて保護層形成フェーズを実行することを含み、前記保護層形成フェーズはそれぞれのフェーズについて約0.0055から7秒のあいだ実行され、前記保護層形成フェーズを実行することは、
前記堆積ガスを提供すること、および
前記堆積ガスからプラズマを形成すること
を含み、
前記エッチングマスクを通して第2ガス化学物質を用いて反応性エッチングガス化学反応を用いて前記形状をエッチングするためのエッチングフェーズを実行することであって、前記第1ガス化学物質は前記第2ガス化学物質とは異なり、前記エッチングフェーズはそれぞれのフェーズについて約0.005から14秒のあいだ実行され、前記エッチングフェーズを実行することは、
反応性エッチングガスを提供すること、および
前記反応性エッチングガスからプラズマを形成すること
を含む方法。 - 請求項1に記載の方法であって、前記保護層形成フェーズは、100Å厚未満の層を形成する方法。
- 請求項1に記載の方法であって、前記保護層形成フェーズは、約1および10Åの間の層を形成する方法。
- 請求項1に記載の方法であって、前記エッチングフェーズは、200電子ボルトより大きいイオン衝突エネルギーを前記基板に提供する方法。
- 請求項1に記載の方法であって、前記第2ガス化学物質は、ポリマー形成物およびエッチングイネーブラーを含む方法。
- 請求項1に記載の方法であって、前記保護層形成フェーズおよび前記エッチングフェーズは、共通したプラズマ処理チャンバ内で実行される方法。
- 請求項1に記載の方法であって、前記保護層形成フェーズは非方向性堆積を用い、前記エッチングステップは、方向性エッチングを用いる方法。
- 請求項7に記載の方法であって、前記非方向性堆積は、化学気相成長およびスパッタリングのうちの少なくとも1つから選択される方法。
- 請求項1に記載の方法であって、前記エッチングマスクは、193nm以下のフォトリソグラフィに基づくフォトレジストマスクである方法。
- 請求項1に記載の方法であって、前記ガス変調サイクリックプロセスの前記実行は、第3フェーズをさらに含む方法。
- 請求項1に記載の方法であって、前記保護層フェーズのそれぞれの適用はサブモノレイヤを形成する方法。
- 請求項1に記載の方法であって、前記ガス変調サイクリックプロセスを調整するために前記保護層形成フェーズおよび前記エッチングフェーズの前記時間を調節することをさらに含む方法。
- 請求項1に記載の方法であって、前記ガス変調サイクリックプロセスは、20サイクルより多く適用される方法。
- 請求項1に記載の方法であって、前記ガス変調サイクリックプロセスは、少なくとも100サイクル適用される方法。
- 請求項1に記載の方法であって、
前記層が完全にエッチングされる前に、前記ガス変調サイクリックプロセスを停止すること、および
前記層のエッチングを完了するために非サイクリックエッチングを提供すること
をさらに含む方法。 - 請求項1に記載の方法であって、それぞれのサイクルは、約0.01から21秒の間の期間を有する方法。
- 基板上をエッチングマスクを通して層中の形状をエッチングする装置であって、
前記基板がその中に配置されえるプロセスチャンバ、
堆積ガス化学物質の第1ガス化学物質を提供する第1ガス化学物質源、
反応性エッチングガス化学物質の第2ガス化学物質を提供する第2ガス化学物質源、
前記第1ガス化学物質源および前記第2ガス化学物質源に制御可能に接続されたコントローラであって、前記コントローラは、ガス変調サイクリックプロセスを3サイクルより多く実行するコンピュータで読み取り可能な媒体を備える、コントローラであって、
堆積ガス化学物質を持つ第1ガス化学物質を用いて保護層形成フェーズを実行するコンピュータ命令を含み、前記保護層形成フェーズはそれぞれのフェーズについて約0.0055から7秒のあいだ実行され、前記コンピュータ命令は、
前記堆積ガスを提供するコンピュータ命令、および
前記堆積ガスからプラズマを形成するコンピュータ命令
を含み、
前記エッチングマスクを通して第2ガス化学物質を用いて反応性エッチングガス化学反応を用いて前記形状をエッチングするためのエッチングフェーズを実行するコンピュータ命令であって、前記第1ガス化学物質は前記第2ガス化学物質とは異なり、前記エッチングフェーズはそれぞれのフェーズについて約0.005から14秒のあいだ実行され、前記エッチングフェーズを実行するコンピュータ命令は、
反応性エッチングガスを提供するコンピュータ命令、および
前記反応性エッチングガスからプラズマを形成するコンピュータ命令
を含む装置。 - 請求項17に記載の装置であって、
前記コントローラによって制御される少なくとも1つのrf電力源、
前記コントローラによって制御される少なくとも1つの圧力制御装置、および
前記コントローラによって制御される少なくとも1つの温度制御装置であって、前記コントローラは、前記変調サイクリックプロセスの前記異なるフェーズのあいだにrf電力源からの電力を変化させるコンピュータ命令をさらに備える装置。 - 基板上の層をエッチングする方法であって、
少なくとも3サイクルだけサイクリックプロセスを実行すること
を含み、それぞれのサイクルは、
第1エッチングフェーズを実行することであって、前記第1エッチングフェーズは、それぞれのサイクルについて約0.0055から14秒実行され、前記第1エッチングフェーズは、
第1エッチングガスを提供すること、および
前記第1エッチングガスからプラズマを形成すること
を含み、
第2エッチングフェーズを実行することであって、前記第2エッチングフェーズは、それぞれのサイクルについて約0.0055から14秒実行され、前記第2エッチングフェーズは、
第2エッチングガスを提供することであって、前記第1エッチングガスは前記第2エッチングガスとは異なる、第2エッチングガスを提供すること、および
前記第2エッチングガスからプラズマを形成すること
を含む方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/411,520 | 2003-04-09 | ||
US10/411,520 US6916746B1 (en) | 2003-04-09 | 2003-04-09 | Method for plasma etching using periodic modulation of gas chemistry |
PCT/US2004/010170 WO2004093176A1 (en) | 2003-04-09 | 2004-04-01 | Method for plasma etching using periodic modulation of gas chemistry |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2006523030A true JP2006523030A (ja) | 2006-10-05 |
JP2006523030A5 JP2006523030A5 (ja) | 2007-05-24 |
JP5087271B2 JP5087271B2 (ja) | 2012-12-05 |
Family
ID=33298339
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006509625A Expired - Lifetime JP5087271B2 (ja) | 2003-04-09 | 2004-04-01 | ガス化学反応の周期的変調を用いたプラズマエッチング方法 |
Country Status (8)
Country | Link |
---|---|
US (2) | US6916746B1 (ja) |
EP (1) | EP1611603A4 (ja) |
JP (1) | JP5087271B2 (ja) |
KR (1) | KR101083623B1 (ja) |
CN (1) | CN100411106C (ja) |
RU (1) | RU2339115C2 (ja) |
TW (1) | TWI365495B (ja) |
WO (1) | WO2004093176A1 (ja) |
Cited By (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPWO2006003962A1 (ja) * | 2004-07-02 | 2008-04-17 | 株式会社アルバック | エッチング方法及び装置 |
WO2008151120A1 (en) * | 2007-06-04 | 2008-12-11 | Lam Research Corporation | Method for reducing microloading in etching high aspect ratio structures |
JP2009033080A (ja) * | 2006-10-06 | 2009-02-12 | Tokyo Electron Ltd | プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体 |
JP2009267250A (ja) * | 2008-04-28 | 2009-11-12 | Ulvac Japan Ltd | プラズマエッチング方法 |
JP2010518605A (ja) * | 2007-02-05 | 2010-05-27 | ラム リサーチ コーポレーション | 超高アスペクト比の誘電体パルスエッチング |
JP2012124535A (ja) * | 2005-02-03 | 2012-06-28 | Lam Research Corporation | 複数のマスキングステップを用いて微小寸法を低減する方法 |
EP2492955A1 (en) | 2011-02-28 | 2012-08-29 | Tokyo Electron Limited | Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium |
JP2013510445A (ja) * | 2009-11-09 | 2013-03-21 | スリーエム イノベイティブ プロパティズ カンパニー | 半導体の異方性エッチングプロセス |
WO2013051282A1 (ja) * | 2011-10-06 | 2013-04-11 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
JP2013145874A (ja) * | 2011-12-21 | 2013-07-25 | Imec | Euvフォトレジスト封入 |
KR20140096370A (ko) * | 2011-11-15 | 2014-08-05 | 램 리써치 코포레이션 | 플라즈마 처리에서의 불활성-우세한 펄싱 |
JP2016004983A (ja) * | 2014-06-19 | 2016-01-12 | 東京エレクトロン株式会社 | 絶縁膜をエッチングする方法 |
JP2017143194A (ja) * | 2016-02-10 | 2017-08-17 | Sppテクノロジーズ株式会社 | 半導体素子の製造方法 |
KR20180117057A (ko) | 2017-04-18 | 2018-10-26 | 도쿄엘렉트론가부시키가이샤 | 피처리체를 처리하는 방법 |
JP2018200925A (ja) * | 2017-05-25 | 2018-12-20 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
JP2019197903A (ja) * | 2014-06-16 | 2019-11-14 | 東京エレクトロン株式会社 | 処理装置 |
JP2019212776A (ja) * | 2018-06-05 | 2019-12-12 | 東京エレクトロン株式会社 | 成膜用組成物および成膜装置 |
JP2019212777A (ja) * | 2018-06-05 | 2019-12-12 | 東京エレクトロン株式会社 | 成膜用組成物および成膜装置 |
US10553446B2 (en) | 2017-04-18 | 2020-02-04 | Tokyo Electron Limited | Method of processing target object |
KR102659567B1 (ko) | 2017-03-03 | 2024-04-19 | 램 리써치 코포레이션 | 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법 |
Families Citing this family (88)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7977390B2 (en) * | 2002-10-11 | 2011-07-12 | Lam Research Corporation | Method for plasma etching performance enhancement |
US6833325B2 (en) * | 2002-10-11 | 2004-12-21 | Lam Research Corporation | Method for plasma etching performance enhancement |
US6916746B1 (en) * | 2003-04-09 | 2005-07-12 | Lam Research Corporation | Method for plasma etching using periodic modulation of gas chemistry |
US7294580B2 (en) * | 2003-04-09 | 2007-11-13 | Lam Research Corporation | Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition |
US7708859B2 (en) * | 2004-04-30 | 2010-05-04 | Lam Research Corporation | Gas distribution system having fast gas switching capabilities |
US7491647B2 (en) * | 2005-03-08 | 2009-02-17 | Lam Research Corporation | Etch with striation control |
US7241683B2 (en) * | 2005-03-08 | 2007-07-10 | Lam Research Corporation | Stabilized photoresist structure for etching process |
US7695632B2 (en) * | 2005-05-31 | 2010-04-13 | Lam Research Corporation | Critical dimension reduction and roughness control |
US7696101B2 (en) * | 2005-11-01 | 2010-04-13 | Micron Technology, Inc. | Process for increasing feature density during the manufacture of a semiconductor device |
TWI270139B (en) * | 2005-12-08 | 2007-01-01 | Promos Technologies Inc | Etching method and method of fabricating deep trench |
JP2007214171A (ja) * | 2006-02-07 | 2007-08-23 | Hitachi High-Technologies Corp | エッチング処理方法 |
US7910489B2 (en) * | 2006-02-17 | 2011-03-22 | Lam Research Corporation | Infinitely selective photoresist mask etch |
US7713430B2 (en) * | 2006-02-23 | 2010-05-11 | Micron Technology, Inc. | Using positive DC offset of bias RF to neutralize charge build-up of etch features |
US7541289B2 (en) * | 2006-07-13 | 2009-06-02 | Applied Materials, Inc. | Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture |
US7517804B2 (en) * | 2006-08-31 | 2009-04-14 | Micron Technologies, Inc. | Selective etch chemistries for forming high aspect ratio features and associated structures |
KR101001875B1 (ko) | 2006-09-30 | 2010-12-17 | 엘지이노텍 주식회사 | 등방성 에칭을 이용한 미세 패턴 형성방법 및 이를 이용하여 제조된 미세패턴이 형성된 반도체 기판 면상 부재 |
US20080149592A1 (en) * | 2006-12-05 | 2008-06-26 | Belen Rodolfo P | Plasma etch process for controlling line edge roughness |
US8262920B2 (en) * | 2007-06-18 | 2012-09-11 | Lam Research Corporation | Minimization of mask undercut on deep silicon etch |
KR100965774B1 (ko) * | 2007-11-02 | 2010-06-24 | 주식회사 하이닉스반도체 | 반도체 소자의 미세 패턴 형성 방법 |
US8227176B2 (en) * | 2007-11-02 | 2012-07-24 | Hynix Semiconductor Inc. | Method for forming fine pattern in semiconductor device |
KR101588909B1 (ko) | 2007-12-21 | 2016-02-12 | 램 리써치 코포레이션 | 실리콘 구조의 제조 및 프로파일 제어를 이용한 딥 실리콘 에칭 |
KR20090069122A (ko) * | 2007-12-24 | 2009-06-29 | 주식회사 하이닉스반도체 | 반도체 장치의 제조방법 |
US7883829B2 (en) * | 2008-08-01 | 2011-02-08 | International Business Machines Corporation | Lithography for pitch reduction |
US9018098B2 (en) * | 2008-10-23 | 2015-04-28 | Lam Research Corporation | Silicon etch with passivation using chemical vapor deposition |
US8173547B2 (en) * | 2008-10-23 | 2012-05-08 | Lam Research Corporation | Silicon etch with passivation using plasma enhanced oxidation |
US8778804B2 (en) * | 2009-01-30 | 2014-07-15 | Fei Company | High selectivity, low damage electron-beam delineation etch |
JP2010272758A (ja) * | 2009-05-22 | 2010-12-02 | Hitachi High-Technologies Corp | 被エッチング材のプラズマエッチング方法 |
US8747684B2 (en) * | 2009-08-20 | 2014-06-10 | Applied Materials, Inc. | Multi-film stack etching with polymer passivation of an overlying etched layer |
CN101643904B (zh) * | 2009-08-27 | 2011-04-27 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 深硅刻蚀装置和深硅刻蚀设备的进气系统 |
CN102041508B (zh) * | 2009-10-23 | 2012-07-25 | 中芯国际集成电路制造(上海)有限公司 | 刻蚀沟槽的方法 |
CN101789372A (zh) * | 2010-02-11 | 2010-07-28 | 中微半导体设备(上海)有限公司 | 一种含硅绝缘层的等离子刻蚀方法 |
JP5264834B2 (ja) * | 2010-06-29 | 2013-08-14 | 東京エレクトロン株式会社 | エッチング方法及び装置、半導体装置の製造方法 |
US8304262B2 (en) * | 2011-02-17 | 2012-11-06 | Lam Research Corporation | Wiggling control for pseudo-hardmask |
RU2456702C1 (ru) * | 2011-03-16 | 2012-07-20 | Общество с ограниченной ответственностью "ЭСТО-Вакуум" | Способ плазмохимического травления материалов микроэлектроники |
US20120302065A1 (en) * | 2011-05-26 | 2012-11-29 | Nanya Technology Corporation | Pulse-plasma etching method and pulse-plasma etching apparatus |
US9214622B2 (en) * | 2011-10-17 | 2015-12-15 | Stmicroelectronics, Inc. | Size-controllable opening and method of making same |
US8765613B2 (en) | 2011-10-26 | 2014-07-01 | International Business Machines Corporation | High selectivity nitride etch process |
US9679751B2 (en) | 2012-03-15 | 2017-06-13 | Lam Research Corporation | Chamber filler kit for plasma etch chamber useful for fast gas switching |
US9349595B2 (en) * | 2012-07-11 | 2016-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of manufacturing semiconductor devices |
CN103578973B (zh) * | 2012-07-29 | 2017-09-05 | 中国科学院微电子研究所 | 氮化硅高深宽比孔的循环刻蚀方法 |
US20140051256A1 (en) * | 2012-08-15 | 2014-02-20 | Lam Research Corporation | Etch with mixed mode pulsing |
CN103628075A (zh) * | 2012-08-23 | 2014-03-12 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种等离子刻蚀方法 |
US9543158B2 (en) | 2014-12-04 | 2017-01-10 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9378971B1 (en) | 2014-12-04 | 2016-06-28 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US10297459B2 (en) | 2013-09-20 | 2019-05-21 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9054050B2 (en) | 2013-11-06 | 2015-06-09 | Tokyo Electron Limited | Method for deep silicon etching using gas pulsing |
US9159561B2 (en) * | 2013-12-26 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning |
US9418867B2 (en) | 2014-01-10 | 2016-08-16 | Applied Materials, Inc. | Mask passivation using plasma |
JP6373150B2 (ja) * | 2014-06-16 | 2018-08-15 | 東京エレクトロン株式会社 | 基板処理システム及び基板処理方法 |
TWI733431B (zh) | 2014-06-18 | 2021-07-11 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | 用於tsv/mems/功率元件蝕刻的化學物質 |
US20150371889A1 (en) * | 2014-06-20 | 2015-12-24 | Applied Materials, Inc. | Methods for shallow trench isolation formation in a silicon germanium layer |
US10217681B1 (en) | 2014-08-06 | 2019-02-26 | American Air Liquide, Inc. | Gases for low damage selective silicon nitride etching |
US9570320B2 (en) * | 2014-10-09 | 2017-02-14 | Lam Research Corporation | Method to etch copper barrier film |
US10170324B2 (en) | 2014-12-04 | 2019-01-01 | Lam Research Corporation | Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch |
US9620377B2 (en) | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US9997373B2 (en) | 2014-12-04 | 2018-06-12 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9384998B2 (en) * | 2014-12-04 | 2016-07-05 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9887097B2 (en) | 2014-12-04 | 2018-02-06 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
US9543148B1 (en) | 2015-09-01 | 2017-01-10 | Lam Research Corporation | Mask shrink layer for high aspect ratio dielectric etch |
US9978606B2 (en) * | 2015-10-02 | 2018-05-22 | Applied Materials, Inc. | Methods for atomic level resolution and plasma processing control |
US9595451B1 (en) | 2015-10-19 | 2017-03-14 | Applied Materials, Inc. | Highly selective etching methods for etching dielectric materials |
US10522429B2 (en) * | 2015-11-30 | 2019-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing semiconductor device |
US9728421B2 (en) | 2015-12-31 | 2017-08-08 | International Business Machines Corporation | High aspect ratio patterning of hard mask materials by organic soft masks |
KR102072269B1 (ko) * | 2016-02-22 | 2020-01-31 | 도쿄엘렉트론가부시키가이샤 | 패터닝된 층의 주기적 에칭을 위한 방법 |
CN107275202B (zh) * | 2016-04-07 | 2020-03-10 | 中芯国际集成电路制造(上海)有限公司 | 刻蚀方法及半导体结构的形成方法 |
GB201608926D0 (en) * | 2016-05-20 | 2016-07-06 | Spts Technologies Ltd | Method for plasma etching a workpiece |
US10497578B2 (en) | 2016-07-22 | 2019-12-03 | Applied Materials, Inc. | Methods for high temperature etching a material layer using protection coating |
US9997364B2 (en) * | 2016-10-19 | 2018-06-12 | Lam Research Corporation | High aspect ratio etch |
US20180323061A1 (en) * | 2017-05-03 | 2018-11-08 | Tokyo Electron Limited | Self-Aligned Triple Patterning Process Utilizing Organic Spacers |
KR102475069B1 (ko) | 2017-06-30 | 2022-12-06 | 삼성전자주식회사 | 반도체 제조 장치, 이의 동작 방법 |
US10276398B2 (en) | 2017-08-02 | 2019-04-30 | Lam Research Corporation | High aspect ratio selective lateral etch using cyclic passivation and etching |
US10727045B2 (en) * | 2017-09-29 | 2020-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for manufacturing a semiconductor device |
US10847374B2 (en) | 2017-10-31 | 2020-11-24 | Lam Research Corporation | Method for etching features in a stack |
US10529578B2 (en) | 2017-11-12 | 2020-01-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of fabricating semiconductor structure |
DE102018010532B4 (de) | 2017-11-12 | 2024-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Verfahren zur Herstellung einer Halbleiterstruktur |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10727075B2 (en) | 2017-12-22 | 2020-07-28 | Applied Materials, Inc. | Uniform EUV photoresist patterning utilizing pulsed plasma process |
JP2019121750A (ja) * | 2018-01-11 | 2019-07-22 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
US10361092B1 (en) | 2018-02-23 | 2019-07-23 | Lam Research Corporation | Etching features using metal passivation |
CN112912251A (zh) * | 2018-10-23 | 2021-06-04 | Hzo股份有限公司 | 涂覆的基板的等离子灰化 |
US20200135898A1 (en) * | 2018-10-30 | 2020-04-30 | International Business Machines Corporation | Hard mask replenishment for etching processes |
US10886136B2 (en) * | 2019-01-31 | 2021-01-05 | Tokyo Electron Limited | Method for processing substrates |
US10629451B1 (en) | 2019-02-01 | 2020-04-21 | American Air Liquide, Inc. | Method to improve profile control during selective etching of silicon nitride spacers |
GB201917988D0 (en) * | 2019-12-09 | 2020-01-22 | Spts Technologies Ltd | A semiconductor wafer dicing process |
US20210391181A1 (en) * | 2020-06-15 | 2021-12-16 | Tokyo Electron Limited | Forming a semiconductor device using a protective layer |
WO2022039848A1 (en) * | 2020-08-18 | 2022-02-24 | Applied Materials, Inc. | Methods for etching structures with oxygen pulsing |
US11361971B2 (en) * | 2020-09-25 | 2022-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | High aspect ratio Bosch deep etch |
US11961735B2 (en) * | 2021-06-04 | 2024-04-16 | Tokyo Electron Limited | Cyclic plasma processing |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH04240729A (ja) * | 1991-01-24 | 1992-08-28 | Toshiba Corp | パターン形成方法 |
JPH11195641A (ja) * | 1998-01-05 | 1999-07-21 | Matsushita Electric Ind Co Ltd | プラズマ処理方法 |
JP2001127049A (ja) * | 1999-08-26 | 2001-05-11 | Alcatel | プラズマ真空基板処理方法およびシステム |
Family Cites Families (52)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4414059A (en) | 1982-12-09 | 1983-11-08 | International Business Machines Corporation | Far UV patterning of resist materials |
JPS6313334A (ja) | 1986-07-04 | 1988-01-20 | Hitachi Ltd | ドライエツチング方法 |
KR900007687B1 (ko) * | 1986-10-17 | 1990-10-18 | 가부시기가이샤 히다찌세이사꾸쇼 | 플라즈마처리방법 및 장치 |
JP2918892B2 (ja) * | 1988-10-14 | 1999-07-12 | 株式会社日立製作所 | プラズマエッチング処理方法 |
JP3006048B2 (ja) * | 1990-07-27 | 2000-02-07 | ソニー株式会社 | ドライエッチング方法 |
DE4241045C1 (de) | 1992-12-05 | 1994-05-26 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
JP3437863B2 (ja) | 1993-01-18 | 2003-08-18 | 株式会社半導体エネルギー研究所 | Mis型半導体装置の作製方法 |
DE4317623C2 (de) | 1993-05-27 | 2003-08-21 | Bosch Gmbh Robert | Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung |
JP2674488B2 (ja) * | 1993-12-01 | 1997-11-12 | 日本電気株式会社 | ドライエッチング室のクリーニング方法 |
US5545289A (en) * | 1994-02-03 | 1996-08-13 | Applied Materials, Inc. | Passivating, stripping and corrosion inhibition of semiconductor substrates |
US5562801A (en) * | 1994-04-28 | 1996-10-08 | Cypress Semiconductor Corporation | Method of etching an oxide layer |
GB9616225D0 (en) | 1996-08-01 | 1996-09-11 | Surface Tech Sys Ltd | Method of surface treatment of semiconductor substrates |
EP1357584A3 (en) | 1996-08-01 | 2005-01-12 | Surface Technology Systems Plc | Method of surface treatment of semiconductor substrates |
DE19641288A1 (de) | 1996-10-07 | 1998-04-09 | Bosch Gmbh Robert | Verfahren zum anisotropen Plasmaätzen verschiedener Substrate |
US5882535A (en) * | 1997-02-04 | 1999-03-16 | Micron Technology, Inc. | Method for forming a hole in a semiconductor device |
DE19706682C2 (de) | 1997-02-20 | 1999-01-14 | Bosch Gmbh Robert | Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium |
US6010603A (en) * | 1997-07-09 | 2000-01-04 | Applied Materials, Inc. | Patterned copper etch for micron and submicron features, using enhanced physical bombardment |
DE19730644C1 (de) | 1997-07-17 | 1998-11-19 | Bosch Gmbh Robert | Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung |
US6187685B1 (en) | 1997-08-01 | 2001-02-13 | Surface Technology Systems Limited | Method and apparatus for etching a substrate |
DE19734278C1 (de) | 1997-08-07 | 1999-02-25 | Bosch Gmbh Robert | Vorrichtung zum anisotropen Ätzen von Substraten |
DE19736370C2 (de) | 1997-08-21 | 2001-12-06 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silizium |
US5942446A (en) * | 1997-09-12 | 1999-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer |
US6074959A (en) * | 1997-09-19 | 2000-06-13 | Applied Materials, Inc. | Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide |
US5849639A (en) * | 1997-11-26 | 1998-12-15 | Lucent Technologies Inc. | Method for removing etching residues and contaminants |
KR100520148B1 (ko) * | 1997-12-31 | 2006-05-12 | 주식회사 하이닉스반도체 | 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물 |
US6387287B1 (en) | 1998-03-27 | 2002-05-14 | Applied Materials, Inc. | Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window |
US6071822A (en) * | 1998-06-08 | 2000-06-06 | Plasma-Therm, Inc. | Etching process for producing substantially undercut free silicon on insulator structures |
US6025255A (en) * | 1998-06-25 | 2000-02-15 | Vanguard International Semiconductor Corporation | Two-step etching process for forming self-aligned contacts |
US6211092B1 (en) | 1998-07-09 | 2001-04-03 | Applied Materials, Inc. | Counterbore dielectric plasma etch process particularly useful for dual damascene |
US6406995B1 (en) * | 1998-09-30 | 2002-06-18 | Intel Corporation | Pattern-sensitive deposition for damascene processing |
JP2000208767A (ja) * | 1998-11-13 | 2000-07-28 | Seiko Epson Corp | 半導体装置の製造方法 |
US6100200A (en) * | 1998-12-21 | 2000-08-08 | Advanced Technology Materials, Inc. | Sputtering process for the conformal deposition of a metallization or insulating layer |
US6187666B1 (en) * | 1999-06-08 | 2001-02-13 | Advanced Micro Devices, Inc. | CVD plasma process to fill contact hole in damascene process |
US6316169B1 (en) | 1999-06-25 | 2001-11-13 | Lam Research Corporation | Methods for reducing profile variation in photoresist trimming |
KR100327346B1 (ko) * | 1999-07-20 | 2002-03-06 | 윤종용 | 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법 |
US6291357B1 (en) * | 1999-10-06 | 2001-09-18 | Applied Materials, Inc. | Method and apparatus for etching a substrate with reduced microloading |
US6326307B1 (en) * | 1999-11-15 | 2001-12-04 | Appllied Materials, Inc. | Plasma pretreatment of photoresist in an oxide etch process |
US6391788B1 (en) * | 2000-02-25 | 2002-05-21 | Applied Materials, Inc. | Two etchant etch method |
US6500743B1 (en) * | 2000-08-30 | 2002-12-31 | Advanced Micro Devices, Inc. | Method of copper-polysilicon T-gate formation |
US6569774B1 (en) * | 2000-08-31 | 2003-05-27 | Micron Technology, Inc. | Method to eliminate striations and surface roughness caused by dry etch |
US6403491B1 (en) * | 2000-11-01 | 2002-06-11 | Applied Materials, Inc. | Etch method using a dielectric etch chamber with expanded process window |
US6743727B2 (en) * | 2001-06-05 | 2004-06-01 | International Business Machines Corporation | Method of etching high aspect ratio openings |
US20030027427A1 (en) * | 2001-08-06 | 2003-02-06 | Applied Materials, Inc. | Integrated system for oxide etching and metal liner deposition |
US6647994B1 (en) * | 2002-01-02 | 2003-11-18 | Taiwan Semiconductor Manufacturing Company | Method of resist stripping over low-k dielectric material |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6979652B2 (en) * | 2002-04-08 | 2005-12-27 | Applied Materials, Inc. | Etching multi-shaped openings in silicon |
US6784096B2 (en) * | 2002-09-11 | 2004-08-31 | Applied Materials, Inc. | Methods and apparatus for forming barrier layers in high aspect ratio vias |
US6833325B2 (en) * | 2002-10-11 | 2004-12-21 | Lam Research Corporation | Method for plasma etching performance enhancement |
US7169695B2 (en) * | 2002-10-11 | 2007-01-30 | Lam Research Corporation | Method for forming a dual damascene structure |
US7294580B2 (en) * | 2003-04-09 | 2007-11-13 | Lam Research Corporation | Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition |
US6916746B1 (en) * | 2003-04-09 | 2005-07-12 | Lam Research Corporation | Method for plasma etching using periodic modulation of gas chemistry |
US7056830B2 (en) * | 2003-09-03 | 2006-06-06 | Applied Materials, Inc. | Method for plasma etching a dielectric layer |
-
2003
- 2003-04-09 US US10/411,520 patent/US6916746B1/en not_active Expired - Lifetime
-
2004
- 2004-04-01 KR KR1020057019187A patent/KR101083623B1/ko active IP Right Grant
- 2004-04-01 JP JP2006509625A patent/JP5087271B2/ja not_active Expired - Lifetime
- 2004-04-01 EP EP04759091A patent/EP1611603A4/en not_active Withdrawn
- 2004-04-01 RU RU2005131015/28A patent/RU2339115C2/ru not_active IP Right Cessation
- 2004-04-01 CN CNB2004800160255A patent/CN100411106C/zh not_active Expired - Fee Related
- 2004-04-01 WO PCT/US2004/010170 patent/WO2004093176A1/en active Application Filing
- 2004-04-06 TW TW093109498A patent/TWI365495B/zh not_active IP Right Cessation
-
2005
- 2005-02-11 US US11/055,878 patent/US20050136682A1/en not_active Abandoned
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH04240729A (ja) * | 1991-01-24 | 1992-08-28 | Toshiba Corp | パターン形成方法 |
JPH11195641A (ja) * | 1998-01-05 | 1999-07-21 | Matsushita Electric Ind Co Ltd | プラズマ処理方法 |
JP2001127049A (ja) * | 1999-08-26 | 2001-05-11 | Alcatel | プラズマ真空基板処理方法およびシステム |
Cited By (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4796965B2 (ja) * | 2004-07-02 | 2011-10-19 | 株式会社アルバック | エッチング方法及び装置 |
JPWO2006003962A1 (ja) * | 2004-07-02 | 2008-04-17 | 株式会社アルバック | エッチング方法及び装置 |
JP2012124535A (ja) * | 2005-02-03 | 2012-06-28 | Lam Research Corporation | 複数のマスキングステップを用いて微小寸法を低減する方法 |
JP2009033080A (ja) * | 2006-10-06 | 2009-02-12 | Tokyo Electron Ltd | プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体 |
KR101455883B1 (ko) * | 2007-02-05 | 2014-11-03 | 램 리써치 코포레이션 | 펄스화된 초고 애스펙트비 유전체 식각 |
JP2010518605A (ja) * | 2007-02-05 | 2010-05-27 | ラム リサーチ コーポレーション | 超高アスペクト比の誘電体パルスエッチング |
WO2008151120A1 (en) * | 2007-06-04 | 2008-12-11 | Lam Research Corporation | Method for reducing microloading in etching high aspect ratio structures |
US7629255B2 (en) | 2007-06-04 | 2009-12-08 | Lam Research Corporation | Method for reducing microloading in etching high aspect ratio structures |
JP2009267250A (ja) * | 2008-04-28 | 2009-11-12 | Ulvac Japan Ltd | プラズマエッチング方法 |
JP2013510445A (ja) * | 2009-11-09 | 2013-03-21 | スリーエム イノベイティブ プロパティズ カンパニー | 半導体の異方性エッチングプロセス |
US9039909B2 (en) | 2011-02-28 | 2015-05-26 | Tokyo Electron Limited | Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium |
EP2492955A1 (en) | 2011-02-28 | 2012-08-29 | Tokyo Electron Limited | Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium |
WO2013051282A1 (ja) * | 2011-10-06 | 2013-04-11 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
JP2013084695A (ja) * | 2011-10-06 | 2013-05-09 | Tokyo Electron Ltd | 半導体装置の製造方法 |
KR102188927B1 (ko) * | 2011-11-15 | 2020-12-10 | 램 리써치 코포레이션 | 플라즈마 처리에서의 불활성-우세한 펄싱 |
KR20140096370A (ko) * | 2011-11-15 | 2014-08-05 | 램 리써치 코포레이션 | 플라즈마 처리에서의 불활성-우세한 펄싱 |
JP2015503224A (ja) * | 2011-11-15 | 2015-01-29 | ラム リサーチ コーポレーションLam Research Corporation | プラズマ処理システムにおける不活性物優勢パルス化 |
JP2013145874A (ja) * | 2011-12-21 | 2013-07-25 | Imec | Euvフォトレジスト封入 |
JP7208318B2 (ja) | 2014-06-16 | 2023-01-18 | 東京エレクトロン株式会社 | 処理装置 |
JP7142611B2 (ja) | 2014-06-16 | 2022-09-27 | 東京エレクトロン株式会社 | 処理装置 |
JP2019197903A (ja) * | 2014-06-16 | 2019-11-14 | 東京エレクトロン株式会社 | 処理装置 |
JP2021184505A (ja) * | 2014-06-16 | 2021-12-02 | 東京エレクトロン株式会社 | 基板処理システム及び基板処理方法 |
JP2016004983A (ja) * | 2014-06-19 | 2016-01-12 | 東京エレクトロン株式会社 | 絶縁膜をエッチングする方法 |
JP2017143194A (ja) * | 2016-02-10 | 2017-08-17 | Sppテクノロジーズ株式会社 | 半導体素子の製造方法 |
KR102659567B1 (ko) | 2017-03-03 | 2024-04-19 | 램 리써치 코포레이션 | 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법 |
US11139175B2 (en) | 2017-04-18 | 2021-10-05 | Tokyo Electron Limited | Method of processing target object |
US10553446B2 (en) | 2017-04-18 | 2020-02-04 | Tokyo Electron Limited | Method of processing target object |
US10381236B2 (en) | 2017-04-18 | 2019-08-13 | Tokyo Electron Limited | Method of processing target object |
KR20180117057A (ko) | 2017-04-18 | 2018-10-26 | 도쿄엘렉트론가부시키가이샤 | 피처리체를 처리하는 방법 |
JP2018200925A (ja) * | 2017-05-25 | 2018-12-20 | 東京エレクトロン株式会社 | エッチング方法およびエッチング装置 |
JP2019212777A (ja) * | 2018-06-05 | 2019-12-12 | 東京エレクトロン株式会社 | 成膜用組成物および成膜装置 |
JP2019212776A (ja) * | 2018-06-05 | 2019-12-12 | 東京エレクトロン株式会社 | 成膜用組成物および成膜装置 |
Also Published As
Publication number | Publication date |
---|---|
WO2004093176A1 (en) | 2004-10-28 |
RU2005131015A (ru) | 2007-04-20 |
EP1611603A1 (en) | 2006-01-04 |
WO2004093176B1 (en) | 2005-01-13 |
TW200428515A (en) | 2004-12-16 |
EP1611603A4 (en) | 2010-10-13 |
JP5087271B2 (ja) | 2012-12-05 |
CN100411106C (zh) | 2008-08-13 |
KR101083623B1 (ko) | 2011-11-16 |
TWI365495B (en) | 2012-06-01 |
US6916746B1 (en) | 2005-07-12 |
US20050136682A1 (en) | 2005-06-23 |
KR20050112125A (ko) | 2005-11-29 |
RU2339115C2 (ru) | 2008-11-20 |
CN1802730A (zh) | 2006-07-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5087271B2 (ja) | ガス化学反応の周期的変調を用いたプラズマエッチング方法 | |
JP4971978B2 (ja) | ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法 | |
US6833325B2 (en) | Method for plasma etching performance enhancement | |
JP5019748B2 (ja) | プラズマエッチングのパフォーマンスを改善する方法 | |
US7977390B2 (en) | Method for plasma etching performance enhancement | |
JP5085997B2 (ja) | プラズマエッチング性能強化方法及び装置 | |
IL190716A (en) | Method for plasma etching | |
TW589403B (en) | Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry | |
US20210335624A1 (en) | Method for etching an etch layer | |
CN113035708A (zh) | 基片处理方法和基片处理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070327 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20070327 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100202 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100427 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20101012 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110210 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20110210 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20110316 |
|
A912 | Re-examination (zenchi) completed and case transferred to appeal board |
Free format text: JAPANESE INTERMEDIATE CODE: A912 Effective date: 20110513 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120717 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20120910 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5087271 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20150914 Year of fee payment: 3 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |