JP2006523030A - ガス化学反応の周期的変調を用いたプラズマエッチング方法 - Google Patents

ガス化学反応の周期的変調を用いたプラズマエッチング方法 Download PDF

Info

Publication number
JP2006523030A
JP2006523030A JP2006509625A JP2006509625A JP2006523030A JP 2006523030 A JP2006523030 A JP 2006523030A JP 2006509625 A JP2006509625 A JP 2006509625A JP 2006509625 A JP2006509625 A JP 2006509625A JP 2006523030 A JP2006523030 A JP 2006523030A
Authority
JP
Japan
Prior art keywords
gas
etching
phase
etch
protective layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006509625A
Other languages
English (en)
Other versions
JP2006523030A5 (ja
JP5087271B2 (ja
Inventor
ハドソン・エリック・エー.
ティーツェ・ジェイムズ・ブイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2006523030A publication Critical patent/JP2006523030A/ja
Publication of JP2006523030A5 publication Critical patent/JP2006523030A5/ja
Application granted granted Critical
Publication of JP5087271B2 publication Critical patent/JP5087271B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Abstract

【課題】基板上をエッチングマスクを通して層中の形状をエッチングする方法を提供する。
【解決手段】ガス変調サイクリックプロセスは3サイクルより多く実行される。それぞれのサイクルは、堆積ガス化学物質を持つ第1ガス化学物質を用いて保護層形成フェーズを実行することを含み、前記保護層形成フェーズはそれぞれのフェーズについて約0.0055から7秒のあいだ実行される。前記保護層形成フェーズを実行することは、前記堆積ガスを提供すること、および前記堆積ガスからプラズマを形成することを含む。それぞれのサイクルは、前記エッチングマスクを通して第2ガス化学物質を用いて反応性エッチングガス化学反応を用いて前記形状をエッチングするためのエッチングフェーズを実行することをさらに含み、前記第1ガス化学物質は前記第2ガス化学物質とは異なり、前記エッチングフェーズはそれぞれのフェーズについて約0.005から14秒のあいだ実行される。それぞれのエッチングフェーズを実行することは、反応性エッチングガスを提供すること、および前記反応性エッチングガスからプラズマを形成することを含む。

Description

本発明は、フォトレジストマスク、ハードマスク、またはスタックされたマスクのようなマスクによって定義される構造を通してエッチングすることによって半導体ウェーハ上の構造を得る方法に関する。
半導体プラズマエッチングの応用例において、プラズマエッチャは、マスクパターンを、回路およびウェーハ上の所望の薄膜および/または膜積層物(導体または誘電体絶縁物)のラインパターンに転写するために通常用いられる。これは、マスクパターンの開口領域におけるフォトレジスト材料の下の膜(または膜積層物)をエッチングして除去することによって達成される。このエッチング反応は、リアクタまたはプロセスチャンバとも呼ばれる真空容器内に含まれる反応剤混合物中の電気放電を励起することによって発生された化学的にアクティブな種および電気的に帯電した粒子(イオン)によって開始されえる。加えて、イオンは、ウェーハ材料に向かってガス混合物およびウェーハ材料間で作られた電界中を加速もされえ、異方性エッチングと呼ばれるようなやり方でイオンの軌跡の方向に沿ったエッチング材料の方向性除去を行う。エッチングシーケンスの終わりにおいて、マスキング材料は、それらを剥がすことによって、元々意図されたマスクパターンの水平パターンのレプリカをその場所に残すように除去される。このエッチング方法は、図1A〜Cに示される。この方法において、図1Aに示されるように、プラズマエッチングプロセスは、フォトレジストマスクパターン104を下にある酸化物誘電体薄膜108のそれに直接に転写するために用いられる。このエッチングは、図1Bに示されるようにコンタクトホール112を発生し、フォトレジスト104を腐食し傷つける。それからフォトレジストは、図1Cに示されるように、コンタクトホール112を酸化物108内に残すように除去される。エッチングプロセス中に、マスク材料は、通常、パターン転写の代わりに腐食および/または損傷される。その結果、損傷および腐食の一部はまた、ストライエーション、CD拡大、ファセッティングなどのような、そのような不要なパターン歪みを残すように下にある層に再転写されえる。
エッチングの方法の目的は、したがって、マスクパターンからのパターン転写の忠実性を増すためにマスク腐食を減らすことを含みえる。この目的のために、パッシベーションガスを反応性エッチング混合物中に含ませることが提案されてきた。このパッシベーションガスは、その存在が、エッチングされるべき薄膜材料の除去レートに相対してマスキング材料のエッチング損傷および腐食を選択的に減らすようなやり方で選択されえる。このパッシベーションガスは、エッチングリタデーションコーティングが、エッチング反応を遅くするバリアとして働くマスキング材料の表面上で発生されるように選択されえる。設計では、パッシベーションガスは、イオン衝突が存在しないときにはエッチング反応が進みえないように、パッシベーションガスが、エッチングリタデーションコーティングをエッチングされるべき膜構造の垂直表面上に加えて有益に形成するよう選択される。荷電粒子の垂直軌跡の性質によって、したがってエッチングは、垂直方向にだけ進みえ、水平方向にはエッチングがないので、異方性エッチングプロファイルを作りえる。したがって、エッチング混合物中のパッシベーションガスの存在は、比較的高いエネルギー方向性イオン衝突の使用によって、より良いエッチングマスク保護および高度に異方性のエッチングプロファイルの優位性のために非常に重要である。
反応性ガス混合物がエッチングガスおよびポリマー形成物を、後者がパッシベーションガスの役割を果たすように含むことは既に提案されてきた。この場合、エッチングガスは、電気放電の励起によって高度に反応性の種を放ち、これは今度は、同時反応のメカニズムによってマスキング材料と共に、エッチングされるべき薄膜材料をエッチングする。同時反応の性質によって、エッチング反応は、垂直と共に水平の両方の表面において進み、等方性エッチングプロファイルを作る。ポリマー形成物の共存は、エッチング構造およびマスキング材料の表面上のポリマー堆積物の発生を通して、マスキング材料に対する高いエッチング選択性およびエッチング異方性をイオン衝突と併せて同時に作るために用いられえる。
反応性ガス混合物がポリマー形成物ガスおよびエッチングイネイブラガスを含むことも提案されてきた。エッチングイネイブラガスの役割は、電気放電の存在下でポリマー形成物ガスと反応することによって、エッチングイネイブラガスが高度に反応性の種を放つことを可能にすることである。代替として、マスキング材料と共にエッチング材料上のリタデーションコーティングは、適切に選ばれたパッシベーションガスのこれら材料の表面との直接の化学反応によっても形成されえる。
上述の方法の共通の欠点は、エッチング要件の異なる局面についての最適条件が通常は一致せず、それぞれの先駆物質の独特の性質のいくつかを持つガスを混合することによって、ガスは相互反応のために失われえることである。エッチング条件最適化は、異なるエッチング化学物質が別個であれば最適ではないかもしれない単一のエッチング条件への複雑なトレードオフを常に伴う。
エッチング方法の変化形が「Method of Anisotropically Etching Silicon」と題されたLaermerらへの1996年3月26日に発行された米国特許第5,501,893号に教示される。この方法は、エッチングガスおよびポリマー形成物ガスを2つの異なるステップに分離し、それぞれは、純粋に1つの種類の化学物質からなり、他を含まない。Laermerにおいて教示される堆積ステップは、ほぼ50nm厚さのテフロン状のポリマー層を推奨された1分の堆積ステップのあいだに形成する。これは、低いイオン衝突エネルギーにおける高速なエッチングレートを可能にするが、それは低いイオン衝突エネルギーにおいてはマスキング材料に対する高選択性は、もし活性化エネルギーがマスキング材料よりもエッチング材料の表面における反応についてわずかに低いなら、ある種の同時エッチング反応について達成されえるからである。
ポリマー形成物をエッチングプロセスから除去することによって、エッチングプロセスは、エッチングが進む期間のあいだ等方性であると考えられるが、これは、水平エッチングが起こることを防ぐリタデーション層が存在しないからである。加えて、パッシベーションガスがエッチング混合物中にないと、もしより高いイオンエネルギーを使うことが望まれるなら、マスキング材料に対する充分なエッチング選択性を得ることが難しい。多くのエッチング応用例は、例えば高いアスペクト比の構造を非常に小さな寸法の構造中に得るために高いイオン衝突エネルギーから利益を受けえる。そのようなプロセスは、不要なストライエーションおよびファセッティングを有すると考えられる。
さらなる提案された方法は、マスキング材料の全体的なエッチング抵抗を改善するための積層されたマスキングスキームを含む。これは図2A〜Fに示される。図2Aにおいて、酸化物層204が提供される。図2Bは、酸化物層上に置かれたハードマスク層208を示す。フォトレジストマスク212は、図2Cに示されるようにハードマスク層208上に置かれる。図2Dに示されるように、フォトレジストマスク212は、パターン付けされたハードマスク層214を作るためにハードマスク層208をパターン付けするのに用いられ、フォトレジスト層212が除去されえる。コンタクトホール216は、図2Eに示されるようにマスクとしてパターン付けされたハードマスク層214を用いて酸化物層204中にエッチングされる。図2Fに示されるように、ハードマスクはそれから除去され、コンタクト216を酸化物層204中に残す。
この方法の優位性は、パターン(回路およびライン)を下にある膜に転写する、より不活性なハードマスクを有することによって、エッチングパフォーマンスがより向上され、エッチングおよびフォトリソグラフィの要件も緩和されることである。この方法の欠点は、新しいプロセスステップおよび新しいツールセットをプロセスフロー中に導入することによって、コストが高くなり、全体のスループットが低くなることである。加えて、追加のプロセスの複雑さは、それ自身が困難にもなる。例えば、誘電体コンタクトエッチング応用例に用いられるSiハードマスクは、フォトレジストマスクのようには容易に剥がされない。
前述のことを本発明の目的に従って達成するために、基板上をエッチングマスクを通して層中の形状をエッチングする方法が提供される。ガス変調サイクリックプロセスは3サイクルより多く実行される。それぞれのサイクルは、堆積ガス化学物質を持つ第1ガス化学物質を用いて保護層形成フェーズを実行することを含み、前記保護層形成フェーズはそれぞれのフェーズについて約0.0055から7秒のあいだ実行される。前記保護層形成フェーズを実行することは、前記堆積ガスを提供すること、および前記堆積ガスからプラズマを形成することを含む。それぞれのサイクルは、前記エッチングマスクを通して第2ガス化学物質を用いて反応性エッチングガス化学反応を用いて前記形状をエッチングするためのエッチングフェーズを実行することをさらに含み、前記第1ガス化学物質は前記第2ガス化学物質とは異なり、前記エッチングフェーズはそれぞれのフェーズについて約0.005から14秒のあいだ実行される。それぞれのエッチングフェーズを実行することは、反応性エッチングガスを提供すること、および前記反応性エッチングガスからプラズマを形成することを含む。
他の実施形態において、基板上をエッチングマスクを通して層中の形状をエッチングする装置が提供される。前記基板がその中に配置されえるプロセスチャンバが提供される。堆積ガス化学物質の第1ガス化学物質を提供する第1ガス化学物質源が提供される。反応性エッチングガス化学物質の第2ガス化学物質を提供する第2ガス化学物質源が提供される。前記第1ガス化学物質源および前記第2ガス化学物質源に制御可能に接続されたコントローラであって、前記コントローラは、ガス変調サイクリックプロセスを3サイクルより多く実行するコンピュータで読み取り可能な媒体を備える、コントローラが提供される。前記コンピュータで読み取り可能な媒体は、堆積ガス化学物質を持つ第1ガス化学物質を用いて保護層形成フェーズを実行するコンピュータ命令を含み、前記保護層形成フェーズはそれぞれのフェーズについて約0.0055から7秒のあいだ実行され、前記コンピュータ命令は、前記堆積ガスを提供するコンピュータ命令、および前記堆積ガスからプラズマを形成するコンピュータ命令を含む。前記コンピュータで読み取り可能な媒体は、さらに、前記エッチングマスクを通して第2ガス化学物質を用いて反応性エッチングガス化学反応を用いて前記形状をエッチングするためのエッチングフェーズを実行するコンピュータ命令を含み、前記第1ガス化学物質は前記第2ガス化学物質とは異なり、前記エッチングフェーズはそれぞれのフェーズについて約0.005から14秒のあいだ実行され、前記エッチングフェーズを実行するコンピュータ命令は、反応性エッチングガスを提供するコンピュータ命令、および前記反応性エッチングガスからプラズマを形成するコンピュータ命令を含む。
本発明の他の実施形態において、基板上の層をエッチングする方法が提供される。ガス変調サイクリックプロセスは少なくとも3サイクル実行される。それぞれのサイクルは、第1エッチングフェーズを実行することであって、前記第1エッチングフェーズは、それぞれのサイクルについて約0.0055から14秒実行される。前記第1エッチングフェーズは、第1エッチングガスを提供すること、および前記第1エッチングガスからプラズマを形成することを含む。それぞれのサイクルは、さらに、第2エッチングフェーズを実行することであって、前記第2エッチングフェーズは、それぞれのサイクルについて約0.0055から14秒実行される。それぞれの第2エッチングフェーズは、第2エッチングガスを提供することであって、前記第1エッチングガスは前記第2エッチングガスとは異なる、第2エッチングガスを提供すること、および前記第2エッチングガスからプラズマを形成することを含む。
本発明のこれらのおよび他の特徴は、本発明の詳細な説明において以下の図面を参照して以下により詳細に記載される。
本発明は、例によって示され、限定によって示されず、添付図面の図において、同様の参照番号は同様の要素を表す。
本発明は、添付の図面に示されるようにそのいくつかの好ましい実施形態を参照して詳細に記載される。以下の記載で、本発明を完全に理解することを促すために多くの具体的な詳細が述べられる。しかし当業者には、これら具体的な詳細の一部または全てがなくても本発明が実施できることは明らかだろう。そうでなければ、本発明の趣旨を不必要にぼかさないために、よく知られたプロセスステップおよび/または構成は詳細に記載されていない。
10nm厚以上のオーダーの側壁パッシベーション層のような保護膜を形成し、それからエッチングすることは、保護膜をパッシベーション層として用いながらも、ストライエーションおよびファセッティングを起こしえる。理論に拘束されることなく、このような厚さの層は、ストライエーションに対する所望の保護を提供するには充分には適合しないと考えられる。本発明によって提供される薄膜保護層は大きくストライエーションを低減すると考えられる。このような薄膜保護層はファセッティングをも低減しえる。これはCD拡大を低減し、CD制御またはCDバイアスの制御を提供し、ここでCDバイアスとはエッチング中のCD変化として定義される。
本発明は、インシチュー(in-situ)なガス変調(gas-modulated)サイクリックエッチングプロセスが保護層形成フェーズおよびエッチングフェーズの間で交互に変わり、それによって不要に簡単さおよびコスト効率を犠牲にすることなく、全体のエッチングパフォーマンスを向上させる新規なエッチング方法である。この変調は、具体的には、組成および/またはプロセスフィードガスのフローレシオのサイクリックな変化を含み、RF電力、ガス圧、および温度における同期された変化をも含みえる。サイクリックなプロセスは、総サイクル時間によって、およびサイクル時間レシオによって特徴付けられ、このレシオは、保護層形成フェーズのための時間およびエッチングフェーズのための時間の間の比である。
2002年11月14日に出願されたHuangらによる「METHOD FOR PLASMA ETCHING PERFORMANCE ENHANCEMENT」と題され、全ての目的のために参照によって援用される米国特許出願第10/295,601号は、エッチングの進行中にマスクおよび/またはエッチング形状の垂直側壁を改善および/または修復するためにin-situのプラズマプロセスが用いられえることを開示する。このようなプロセスにおいて、ウェーハが所望の期間だけエッチングプラズマに露出される前および/または後に、短い期間のプラズマ化学プロセスステップが開始される。
本発明においてはこのアプローチは、マスクおよび側壁を保護する役目を担うプロセスステップが、互換性のあるエッチングフェーズと交互して、ガス変調サイクリックなプロセスの1つのフェーズとして導入されるよう、変更される。
保護層形成プロセスは、材料の薄膜が、エッチング腐食、ファセッティング、およびストライエーションを防ぐために、マスクおよび/またはエッチングされている薄膜の側壁の表面上に形成されるよう選択されえる。この薄膜コーティングは、最終的な除去を簡単にするために、その後の剥離プロセスと互換性のある材料でありえるが、マスク材料よりはよりエッチング耐性がある。例えば、保護されたマスク形状がその後のエッチングプロセスによって簡単には腐食されないように、他の元素を非常に少ししか含まないか全く含まない、炭素が豊富な薄膜はフォトレジストマスクをコーティングするために用いられえる。換言すれば、それは、マスクが、アモルファスカーボンハードマスクのある種の有益なエッチング特性を有する、疑似ハードマスクのように振る舞うように、マスクパターンの表面組成を変化しえる。代替として、層形成プロセスは、マスクパターン上の薄膜コーティングの形成が、以前のエッチングプロセスによって損傷/腐食されたマスクパターンを大きく補償および/または修復するようにも用いられえる。後続のエッチング反応に対してコーティングが比較的不活性であることは、エッチングステップで得られる微妙なバランスを変化させないために有益である。代替として、薄膜コーティングは、側壁にスムーズに形状一致して覆うことを提供するプロセス条件を用いて作られえ、粗いおよび/またはでこぼこの側壁ポリマーコーティングによるストライエーションの開始を防ぐ。
エッチングガス混合物は、エッチング化学反応においてパッシベーションガスに関連付けられた効能を失わないように、エッチャント種およびパッシベーション種を含みえる。パッシベーション成分に対するエッチング成分の比は、複数の他のプロセス条件と併せて、フォトレジスト選択性、エッチング異方性およびエッチングレートなどのような最適なプロセス結果を達成するために微妙にバランスがとられる。電気放電パワーは、高く維持されえ、荷電粒子のエネルギーも、小さい寸法の構造において高いエッチングレートおよび良好な異方性を得るために高く維持される。保護層形成およびエッチングサイクルは、エッチングタスクが完了するまで多数回繰り返される。
理解を促すために図3は、本発明の実施形態のフロー図である。マスクがエッチングされるべき層上に提供される(ステップ304)。このマスクは、フォトレジストマスク、ハードマスク、または積層されたマスクでありえる。図4A〜Fは、プロセスの概略図である。図4Aは、フォトレジストマスク404を示し、これはエッチングされるべき、基板である酸化物層408上に提供されている。この基板は、プロセスチャンバ内に置かれる(ステップ306)。
図5は、本発明の好ましい実施形態において用いられえるプロセスチャンバ500の概略図である。この実施形態において、プラズマ処理チャンバ500は、閉じ込めリング502、上側電極504、下側電極508、ガス源510、および排気ポンプ520を備える。ガス源510は、保護層ガス源512、エッチャントガス源514、および追加ガス源516を備える。プラズマ処理チャンバ500内には、酸化物層が堆積される基板ウェーハ580が下側電極508の上に配置される。下側電極508は、基板ウェーハ580を保持するための適切な基板チャッキングメカニズム(例えば静電的、機械的クランピングのような)を組み込んでいる。リアクタ上部528は、下部電極508のすぐ反対に位置する上側電極504を組み込む。上側電極504、下側電極508、および閉じ込めリング502は、プラズマ容積540を定義する。ガスはガス源510によってガス吸気口543を通して限定されたプラズマ空間に供給され、限定されたプラズマ空間から閉じ込めリング502および排気口を通して排気ポンプ520によって排気される。排気ポンプ520は、プラズマ処理チャンバのためのガス排気口を形成する。RF源548は、下部電極508に電気的に接続される。チャンバ壁552は、閉じ込めリング502、上側電極504、および下部電極508が配置されるプラズマ筐体を定義する。RF源548は、27MHz電力源および2MHz電力源を備えうる。RF源を電極群に接続する異なる組み合わせが可能である。
カリフォルニア州、フレモントのLam Research Corporation(商標) によって製造される2300 Exelan(商標)誘電体エッチングシステムが、本発明によって必要とされるサイクル時間を提供するよう変更されて本発明の好ましい実施形態において用いられえる。コントローラ535は、RF源548、排気ポンプ520、堆積ガス源512に接続される第1コントロールバルブ537、エッチングガス源514に接続される第2コントロールバルブ539、および追加ガス源516に接続される第3コントロールバルブ541に制御可能に接続される。シャワーヘッドは、ガス吸気口543に接続されえる。ガス吸気口543は、それぞれのガス源についての単一の吸気口でもよく、またはそれぞれのガス源について異なる吸気口でもよく、またはそれぞれのガス源についての複数の吸気口でもよく、または他の可能な組み合わせでもよい。
この構造は、変調エッチングのためにそれから準備される(ステップ308)。このような準備は、BARC層を開くことのようなステップを含みえる。
ガス変調サイクリックエッチングプロセスがそれから実行される(ステップ312)。ガス変調サイクリックエッチングプロセスのあいだ、プロセスチャンバ500は、少なくとも2つのフェーズの間で変調する。1つのフェーズは、保護層を形成するのに最適化されたステップである(ステップ316)。もう1つのフェーズは、エッチングに最適化されたステップである(ステップ326)。これらフェーズ間での交互の変化は、ガスフローレート、おそらくはRF源、表面温度、およびガス圧の同期された変調によって達成される。好ましい実施形態において、総サイクル時間は、約21秒より大きくはない。より具体的には、総サイクル時間は、0.01から10秒において実行される。最も好ましくは、総サイクル時間は、0.5から5秒において実行される。好ましくは、サイクル時間レシオ(保護:エッチング)は、0.01および20の間である。より好ましくは、サイクル時間レシオ(保護:エッチング)は、0.05および5の間である。最も好ましくは、サイクル時間レシオ(保護:エッチング)は、0.2および1の間である。好ましくは、ガス変調は、約3から50,000サイクルの間で実行される。より好ましくは、ガス変調は、約20から1,000サイクルの間で実行される。最も好ましくは、ガス変調は、少なくとも約100サイクル実行される。
保護層を形成するために最適化されたフェーズ(ステップ316)のあいだ、保護層がエッチングされた形状の側壁上に、およびおそらくはエッチングマスクの上部上に堆積される。この堆積は、堆積の量が側壁上よりはマスキング材料上において優先的により多く形成されるよう非対称でありえる。これは、選ばれた堆積プロセスの選択性の性質と併せて、堆積源に対する位置の視線によって助けられえる。換言すれば、堆積化学反応は、材料の化学的反応性の違いによりマスキング材料上にコーティングが優先的に実行されるように選ばれえる。図4Bに見られるように、フォトレジストマスクの底部における露出された酸化物表面上、およびフォトレジストマスクの側壁上におけるよりも、フォトレジストマスク404の上部上におけるほうがより厚い保護層412が形成される。図面の他の寸法の関係は、必ずしも正しい縮尺比ではないことに注意されたい。例えば、マスクの厚さおよびエッチングされた層に比較した保護層の厚さは、正しい縮尺とは限らず、そのような保護層は、わかりやすいようにより厚く図示されている。好ましい実施形態において、堆積は、プラズマエンハンスト化学気相成長(CVD)プロセスを用いて、エッチングチャンバ内でin-situで行われ、これは薄膜保護層をフォトレジストの側壁上に堆積する。堆積プロセスは、そのような堆積の選択性を可能にするためにある程度のイオン衝突エネルギーを適用しえる。そのようなプロセスにおいて、側壁の厚さは、マスクの上部上の層の厚さの約2/3でありえる。
他の実施形態において、処理条件は、保護層の厚さおよび空間的分布を変えるために、エッチング前面がエッチングされている材料を通して進むに従って変更されえる。例えば、後続のエッチングによるさらなる歪みから側壁を保護するために、エッチングがより深く進むにつれて、エッチングされている膜の側壁上により厚いコーティングを形成することが望ましいかもしれない。エッチングが進むにつれてのサイクリック処理条件の変更は、このために提供しえる。層形成およびエッチングは、サイクルの別個のフェーズであるので、層形成フェーズのためのプロセス条件は、エッチングフェーズに干渉することなく、この結果のために最適化されえる。代替として、総サイクル時間および/またはサイクル時間レシオは、この変更を提供するために、個別のフェーズについてのプロセスパラメータについての変更なしに、エッチングが進むにつれて調整されえる。他の好ましい実施形態において、保護層は、側壁上にだけ堆積されえる。
保護層形成フェーズのあいだ、堆積ガスのフッ素対炭素比は、2:1より大きくはない。プラズマエンハンストCVDに用いられえる堆積化合物の例は、以下に限定されないが、CH3F、CH2F2、C2H5F、C3H7F、C2H3F、CH4、C2H4、C2H6、C2H2、C3H8、およびSiH4、Si(CH3)4、Si(C2H5)4でありえる。これら化合物はハロゲンがなく、または炭素に対するハロゲンの比が2:1より大きくないことが好ましい。理論によって限定されることなく、炭素ベースの化合物は、薄膜エッチング耐性アモルファス炭素層を形成すると考えられている。シランSiH4は、アモルファスシリコン層(または多結晶シリコン層)をフォトレジスト上に形成するのに用いられえる。加えて、保護層は、FおよびH成分の存在下で変更されてもよい。他の成分の存在、例えばFは、適切なイオン衝突の下で、フォトレジストマスク材料上には堆積が起こり、SiO2層上には起こらないような、ある材料上には優先的に堆積が起こり、他の材料上には堆積が起こらないように、異なる材料表面上に選択的反応を生むために用いられえる。保護層を形成するために、スパッタリングのような他の方法が用いられえる。
ガス変調サイクリック処理を達成するために、エッチングシステムパラメータの同期がとられた制御が以下のように実現されえる。保護層形成フェーズをサイクルの開始で始めるために、コントローラ535は、堆積ガスが堆積ガス源512からプロセスチャンバ500内に入るよう第1バルブ537を操作し、一方、エッチングガスがエッチャントガス源514からプロセスチャンバに入ることを防ぐために第2バルブ539を操作しえる。コントローラ535は、このバルブ制御と同期させて、RF源548によって供給される電力および排気ポンプ520も制御しえる。このコントローラは、ウェーハエリア内のガス圧、ウェーハ背面のHe冷却圧、基板上のバイアス、およびさまざまな温度をバルブ制御と同期させて制御するためにも用いられえる。表1は、本発明の好ましい実施形態においてサイクリックプロセスの保護層形成フェーズにおいて用いられえるいくつかのパラメータの表である。
Figure 2006523030
このバイアスは、基板の上にある上部電極および基板の下にある基板電極の間に一定電圧を与えることによって提供されえる。好ましい実施形態において、RF電力発生器によって供給されるラジオ周波数(RF)電圧を印加することによってウェーハ材料を保持する基板上に電気的陰性が形成されえる(それによりバイアスをウェーハに印加する)。これは、RF電圧の大きさによって制御された電気的陰性によって決定されるエネルギーにおいて、陽に帯電した粒子を電気的にバイアスされた基板へと導く効果を有する。したがって、基板ホルダに印加されるRF電力(よってRF電圧)を制御することによってイオン衝突エネルギーを供給および変化させることが可能である。
保護層形成フェーズ316は、サイクリックエッチングプロセス312中の独立したフェーズであり、これは異なる材料の異なるエッチング応用例のために必要とされる堆積ガスの異なる組み合わせを含みえ、ここでこの堆積は、マスキング形状を含むエッチング形状の周りに保護コーティングを提供しえる。好ましくは、このフェーズのために費やされるサイクルの時間は、約0.005から7秒である。より好ましくは、このフェーズのために費やされるサイクルの時間は、約0.05から5秒である。最も好ましくは、このフェーズのために費やされるサイクルの時間は、約0.25から2.5秒である。好ましくは、単一の保護層形成フェーズの期間にわたって上部および/または側壁上に100Å未満の厚さを持つ層が形成される。より好ましくは、単一の保護層形成フェーズの期間にわたって上部および/または側壁上に約0.1および50Åの間の層が形成される。最も好ましくは、単一の保護層形成フェーズの期間にわたって上部および/または側壁上に約1および10Åの間の層が形成される。約10Å未満層厚の場合、この範囲は、モノレイヤの一部としてより正確に記述されえる。ある実施形態において、保護層は、単一の保護層形成フェーズの期間にわたって、単一のモノレイヤを形成する。他の実施形態において、保護層は、単一の保護層形成フェーズの期間にわたってサブモノレイヤを形成し、これは、単一の原子または分子層で表面を完全には覆わず、その代わりに、表面範囲のうちのあるパーセンテージ(すなわち75%)を提供しえる層である。
エッチングフェーズ320は、サイクリックエッチングプロセス312中の独立したフェーズであり、これは、図4Cに示されるように、エッチング前面460を進めて、エッチング形状416を作るために実行される(ステップ320)。エッチング応用例は、以下に限定されないが、高アスペクト比(HARC)を含む誘電体コンタクトエッチング、ダマシンエッチング、誘電体トレンチエッチング(シャロウまたはディープ)、セルフアラインコンタクトエッチング、ゲートマスクオープンエッチング、バイア誘電体エッチング、デュアルダマシンバイアエッチング、デュアルダマシントレンチエッチング、導電体ゲートエッチング、導電体ディープトレンチエッチング、導電体シャロウトレンチアイソレーションエッチング、およびハードマスク開口を含みえる。
好ましくは、エッチングフェーズは、方向性エッチングを提供するために高イオンエネルギーを用いる。このエッチングフェーズは、示されるように単一のエッチングフェーズの期間にわたって保護層412の一部または全てを除去するかもしれない。一部の表面上の全ての保護層が、単一のエッチングフェーズの期間にわたって除去されるかもしれない。この例では、フォトレジスト404上において、および形状の底部において側壁を形成する保護層が除去されている。保護層の他の部分は、部分的に除去されるだけでありえる。この例では、フォトレジスト404の上部表面上の保護層412の一部だけが除去されている。他の実施形態において、保護層の他の部分は、エッチングされて部分的に取り除かれ、またはエッチングされて完全に取り除かれている。エッチングフェーズは、エッチングされるべき層の一部を除去し、エッチング前面460を進める。
サイクルのエッチングフェーズを提供するために、コントローラ535は、エッチングガスがエッチャントガス源514からプロセスチャンバ500に入ることを許すように第2バルブ539を操作しえ、一方で、堆積ガスが堆積ガス源512からプロセスチャンバに入ることを防ぐために第1バルブ537を操作しえる。コントローラ535は、このバルブ制御と同期させて、RF源548によって供給される電力および排気ポンプ520も制御しえる。このコントローラは、ウェーハエリア内のガス圧、ウェーハ背面のHe冷却圧、基板上のバイアス、およびさまざまな温度をバルブ制御と同期させて制御するためにも用いられえる。サイクルは、上述の保護層形成フェーズへ戻ることによって、およびサイクリックエッチングプロセスが必要とされる限りサイクルのフェーズ群の間の交互実行を反復することによって続く。コントローラ535は、サイクルの保護層形成フェーズおよびエッチングフェーズの両方において望まれる共通ガスまたはガス群の化合物がもし存在するなら、サイクルの両方のフェーズのあいだに、共通ガスが共通ガス源516からプロセスチャンバに入ることを可能にするために第3バルブを操作しえる。
サイクリックプロセスのエッチングフェーズは、方向性エッチングを提供するために高エネルギーイオンを使うので、ポリマー形成物ガスがエッチングフェーズのあいだに提供されえる。ポリマー形成物ガスは、例えば、C4F6、C4F8、CH3F、CH2F2、CH4、C3F6、C3F8、およびCHF3のような炭化水素、フッ化炭素、およびハイドロフルオロカーボンでありえる。これらポリマー形成物ガス群は、エッチングフェーズにわたって継続的に堆積されエッチングされるポリマー層を形成しえる。
表2は、本発明の好ましい実施形態においてサイクリックプロセスのエッチングフェーズにおいて用いられえるいくつかのパラメータの表である。
Figure 2006523030
好ましくは、このフェーズのために費やされるサイクルの時間は、約0.005から14秒である。より好ましくは、このフェーズのために費やされるサイクルの時間は、約0.05から7秒である。最も好ましくは、このフェーズのために費やされるサイクルの時間は、約0.25から2.5秒である。好ましくは、単一のエッチングフェーズの期間にわたって、500Å未満だけエッチングの深さが増す。より好ましくは、単一のエッチングフェーズの期間にわたって、約5および250Åの間だけエッチングの深さが増す。最も好ましくは、単一のエッチングフェーズの期間にわたって、約10および50Åの間だけエッチングの深さが増す。単一のエッチングフェーズ中で約10Å未満のエッチング深さにおける変化の場合、この変化は、単一のエッチングフェーズのあいだに除去された材料のモノレイヤの一部としてより正確に記述されえる。ある実施形態において、単一のエッチングフェーズの期間にわたって除去された材料の量は、ほぼ1つのモノレイヤである。他の実施形態において、単一のエッチングフェーズの期間にわたって除去された材料の量は、1つのモノレイヤ未満である。
図の形状の深さは、正しい縮尺比ではないかもしれない。例えば、エッチングの深さは、実際のエッチングの深さよりも大きく示されているかもしれず、これはサイクル当たりの小さなエッチング変化を図示するのが困難でありえるからである。
サイクリックな処理は、多数サイクルにわたって反復される。図4Dに示されるように、フォトレジストマスク上に追加の保護層418が堆積される。この例では、古い保護層の残りの部分は、新しい保護層418の一部になる。この形状は、フォトレジストマスクを通してそれからさらにエッチングされ(ステップ312)、図4Eに示されるようにより深いコンタクトホール416を提供する。好ましくは、交互に起こる堆積およびエッチングフェーズを提供するこのガス変調サイクルまたはループは、3回より多く反復される。より好ましくは、20回より多く反復される。最も好ましくは、少なくとも100回反復される。
さらなるエッチングが望まれないとき、ガス変調サイクリックプロセス(ステップ312)は完了する。最後のサイクルにおいて、エッチングフェーズは、図4Eに示されるように保護層を完全にエッチングして除去してもよい。しかし、サイクリックエッチング処理の後の後続の処理ステップは、保護層を除去するためにも用いられえ、および/または酸化物層408のエッチングを完了するためにも用いられえる。フォトレジストマスクを剥離するようなさらなる処理ステップが、図4Fに示されるように、コンタクトホール416を持つ酸化物層408を生むために実行されえる。フォトレジストマスクは、プロセスチャンバ500内で、またはプロセスチャンバ500から取り出された後に除去されえる。コンタクトホールの底部における膜を除去するために、さらなる処理ステップが必要とされるかもしれない。
代替の実施形態において、ガス変調サイクリックプロセスは、酸化物エッチングが完了する前に終了されえ、これにより従来のエッチングステップの組み込みがそのエッチングを完了させることを可能にする。これは、酸化物層の下にある阻止層に対する選択性を制御する手段として望ましいかもしれない。
フォトレジストマスクのための材料の例は、以下に限定されないが、深UVフォトレジスト、193nmフォトレジスト、157nmフォトレジスト、EUVフォトレジスト、eビームフォトレジスト、およびX線フォトレジストのようなより新しい世代を含みえる。フォトレジストポリマー材料のより古い世代は、要求される高いエッチング耐性、すなわち、化学的不活性をエッチングガス混合物に与えるために、C−C2重結合およびフェノール族のような不飽和C−C結合を含むように設計される。これらの結合は強く、切断するのに高い活性化エネルギーを必要とし、したがって、比較的低いイオンエネルギーにおいて、より古い世代のフォトレジストは、エッチングガス混合物に対して非常に低いエッチングレートを示しえる。193nmおよび157nmを含むフォトレジストのより新しい世代は、これら不飽和結合を含まないかもしれないが、これはこれらの不飽和結合がリソグラフィ露光波長において吸収するからである。これら不飽和結合が存在しないことによって、フォトレジストエッチング耐性がずっと低下することにつながる。保護コーティングをフォトレジスト上にサイクリックプロセスエッチングのあいだに提供することによって、フォトレジストのエッチング耐性は、高いイオン衝突エネルギーにおいてでさえずっと改良される。本発明がフォトレジストのエッチング耐性を改善しえるイオン衝突エネルギーは50〜2,000eVでありえる。より好ましくは、イオン衝突エネルギーは200〜1,500eVでありえる。最も好ましくは、イオン衝突エネルギーは500〜1,000eVでありえる。
理論に束縛されることなく、サイクリック処理は、異なる処理体制を提供すると考えられるが、これは短い時間スケールで堆積およびエッチングされる非常に薄い膜の特性は厚い膜の特性とは異なるからである。ガス変調サイクリック処理のアプローチを用いると、短いサイクル時間で、側壁膜または上部フォトレジスト表面上の膜のような非常に薄い保護層が堆積される。この膜および酸化膜は、後でサイクルの次のフェーズのあいだに非常に少ない量だけエッチングされる。この薄い保護層の厚さは、モノレイヤの範囲(すなわちサブモノレイヤ、モノレイヤ、またはいくつかの原子または分子の層)でありえる。
モノレイヤ範囲のこの保護層の製造は、堆積レートと堆積時間との積に依存する。さまざまな堆積レートおよび堆積時間の組み合わせが、モノレイヤ範囲の保護層を提供するために用いられえる。例えば、ほぼ1nm/秒の側壁堆積レートおよびほぼ2nm/秒の上部表面堆積レートを提供する堆積は、堆積ステップがサイクル当たり0.25〜0.5秒であるときに、0.5nm厚さを持つモノレイヤレンジの薄膜保護層を提供する(すなわち1nm/秒の堆積レート×0.5秒=0.5nm堆積)。同じモノレイヤレンジは、堆積レートを増し、サイクル時間を減らすことによって、または堆積レートを減らし、サイクル時間を増すことによって、達成されえる。この柔軟性は、さらなる制御変数を提供する。
理論に拘束されることなく、保護膜の厚さが構成分子の寸法に近づくにつれ、例えばモノレイヤの範囲に近づくにつれ、この膜は、保護膜のバルク特性とは別の化学的および物理的特性を帯びえるとさらに考えられる。この体制において、薄膜の概念は、もはや適用されないかもしれず、材料の表面および近表面領域において存在する化学種の混合を考えるのがより正確であるかもしれない。そのような種は、緩く結合された物理吸着された種として、より密に結合された化学吸着された種として、または、より大きな構造、例えばポリマー分子、ガラス、またはバルク結晶の一部として存在しえる。これら表面および近表面の種は、サイクリック処理の保護層フェーズのあいだに堆積された保護性の種を含むが、元の基板からの、またはさまざまな種の間の化学反応から起こる他の種と共に、エッチングフェーズのあいだに堆積または発生した種も含みえる。ほぼモノレイヤの体制においてユニークな特性は、これら異なる表面および近表面の種の、互いとの、および基板材料との相互作用から起こりえる。これら反応は、それぞれの保護層フェーズにおいて基板を数層以上のモノレイヤで覆い、したがって次のエッチングフェーズが始まる時間までに保護材料の表面しか露出させない、より厚い保護膜の場合、抑圧される。
理論に束縛されることなく、それぞれの個々の保護およびエッチングフェーズのあいだにサブモノレイヤ範囲に対応する、限定された流れをそれぞれのサイクル内で受け取るような極端な場合、真に新規なプロセス体制が達成されるとさらに考えられる。この場合において、交互のプロセスステップの概念は、実際にはプロセスを制御するのに用いられているとしても、微視的スケールにおいては不正確になる。微視的スケールにおいては、表面反応は、種の到達および離脱およびこれら種の化学反応に基づいて進む。反応は、連続的に起こるが、高温反応を引き起こしえるイオンのようなエネルギーのある種の時々起こる衝撃によって中断される。臨界反応のほとんどは、これら励起の瞬時のあいだに起こる。サイクルのサブモノレイヤ体制において、表面では、表面に到達する反応物質の流れが実質的に2つの異なるプラズマ条件の平均である準定常状態が起こっており、ここでは反応がこれら種の混合物の間で起こる。
これは、従来の単一ステップの定常状態エッチングとは基本的に異なる体制であると考えられ、なぜなら表面に到達する種の混合物は、2つの別個のプラズマ条件から作られるからである。もしガス変調サイクリックプロセスのフェーズのプロセス条件が単一の定常状態レシピステップに統合されたなら、結果として生じる表面に到達する種の時間平均された流れは、プラズマ中の異なる気体の相互作用によって変化されるだろう。ガス変調サイクリックプロセスで時間軸においてプラズマ条件を分離することによって、表面に到達する種の全体としての混合物はかつてなかった程度にまで制御されえると考えられる。このサイクルの2つの異なるフェーズについての条件は、ガス化学反応を変調できる能力のために、非常に異なりえる。その結果、非常に異なる化学種がサイクルの異なるフェーズにおいて作られえ、それによって単一ステップの定常状態プロセスでは不可能だった混合物を達成できる。この混合物は、サイクルの交互に起こるフェーズ群によって作られる2つの別個のプラズマ条件からのフルエンスの線形組み合わせである。これらフルエンスの比は、サイクル時間比によって制御される。このサイクル時間比はしたがってさらなるプロセス制御変数となる。
ガス変調サイクル処理のアプローチは、短いサイクル時間体制においてアクセス可能な近モノレイヤおよびサブモノレイヤ範囲(モノレイヤ範囲)の体制を提供することができる。サイクル時間を充分に増すことによって、保持されたエッチング条件で交互に起こる多くのモノレイヤの厚さを持つバルク保護層の体制もアクセス可能でありえる。サイクル時間のこれら2つの極端の間に、振る舞いの連続体がアクセスされえ、それによってこのアプローチの両極端に特徴的な所望のおよび不要な結果のバランスをとることが可能になる。したがって、本発明のガス変調サイクル処理は、この連続体においてこれら体制の全てを提供する柔軟性を提供する。したがって総サイクル時間は、さらなるプロセス制御変数になる。
図8A〜Eは、サブモノレイヤを用いた高速サイクルの体制における表面上への材料の蓄積を概略的に示す。この例では、サイクル処理のそれぞれのフェーズは、表面サイトへ種を足していくが、異なる種が異なるフェーズで作られる。これは、異なるフェーズについての表面上における黒および白の円の間で交互に起こることによって示される。これらの円は、気相における、および表面上での堆積物分子を表す。図8Aにおいて、初期側壁表面804は、占有されない表面サイト806で示される。図8Bは、サイクリックプロセスの第1フェーズの効果を示し、ここで第1フェーズのプラズマ条件によって作られた堆積物分子の第1種808が表面804の表面サイト806上に堆積される。第1フェーズの第1適用のあいだに全ての表面サイト806が占有されるわけではないことに注意されたい。図8Cは第2フェーズの効果を示し、ここで第2フェーズのプラズマ条件によって作られた第2種812の堆積物分子は、気体化学反応およびおそらくは他のプロセスパラメータによって第1フェーズの堆積物分子の第1種808とは異なる。第2フェーズのこの適用において、1層のモノレイヤより小さいものが表面範囲に追加される。図8Dは、サイクリックプロセスの第1フェーズの次の適用の効果を示す。この適用において、モノレイヤ範囲が完成され、第2レイヤが形成され始める。図8Eは、いくつかのサイクルの後の結果を示し、これは第1および第2フェーズにおいて作られた異なる種808、812からなるそれぞれのレイヤを持つ混合膜である。
図9A〜Dは、低速サイクルの体制における表面上への材料の蓄積を概略的に示す。これは、図8A〜Eにおける例の同じ条件によって達成されるが、総サイクル時間はおおまかに10倍だけ増加されている。図9Aにおいて、初期表面904は、占有されない表面サイト906で示される。図9Bは、サイクリックプロセスの第1フェーズの効果を示し、ここで第1フェーズのプラズマ条件によって作られた堆積物分子の第1種908が側壁表面904の表面サイト906上に堆積される。この場合、表面範囲のいくつかのモノレイヤが第1フェーズの第1適用のあいだに追加される。図9Cは、サイクリックプロセスの第2フェーズの効果を示し、ここで第2フェーズのプラズマ条件によって作られた堆積物分子の第2種912は、堆積物分子の第1種908によって形成された層上に堆積される。表面範囲のいくつかのモノレイヤが第2フェーズの第1適用のあいだに追加される。図9Dは1.5サイクル後の結果を示し、ここで2つの異なる膜の交互の積層物ができ、これはそれぞれサイクリックプロセスの単一のフェーズのあいだに作られた第1種908および第2種912の層からなる多層膜を持つ。
これらの例は、総サイクル時間が表面の単一のモノレイヤを堆積するのに必要とされる時間に匹敵するようになるときに達成されえる定性的に異なる微視的結果を示すために提示される。これら2つの例において作られた異なる表面膜は、総サイクル時間の変化だけに依存する、ウェーハ構造上の異なるプロセス結果に対応しえると考えられる。これは表面機構だけとしての堆積を持つ簡単な例であるが、同様の議論は表面機構のより複雑な組み合わせにも適用可能である。例えば、サイクリックプロセスの交互のフェーズによる堆積物およびエッチャント種に交互に露出される表面は、総サイクル時間が表面の単一のモノレイヤを堆積またはエッチングするのに必要とされる時間に匹敵するようになるとき、変更された振る舞いをも示しえる。
上述のように、交互の保護およびエッチングステップを用いることによって、ストライエーションおよびファセッティングが減少されえ、より良いエッチング制御が提供されえると考えられる。理論に拘束されることなく、ガス変調サイクリックプロセスおよび交互のアプローチの保護機能を通してアクセス可能な近モノレイヤおよびサブモノレイヤの保護範囲の体制は、ストライエーションおよびファセッティングを減少し、より良いエッチング制御を提供するのに役立ちえるユニークな特性を提供するよう制御および変更されえると考えられる。
エッチングされるべき層は、誘電体層(シリコン酸化膜のような)、導電層(金属およびシリコンまたは他のタイプの半導体のような)、ハードマスク層(窒化シリコンおよび酸窒化シリコンのような)、またはバリア層(窒化シリコンまたは炭化シリコンのような)でありえる。導電層をエッチングするのに、塩素、フッ素、または臭素のようなハロゲンがエッチングステップで用いられえ、ここでこの堆積は、炭素が多い薄膜またはSiを含む薄膜を堆積するのに用いられる化学物質を含みえる。好ましくは、エッチングされるべき層は、酸化シリコン、ドーピングされた珪酸塩ガラス、または有機珪酸塩ガラスまたはSiLKのような低k誘電体膜誘電体材料である。
ガス変調サイクリックプロセスステップは、保護層を形成することおよびエッチングをすることの両方について同じキャリアガスフローを用いることによって実行されえ、一方、保護層を形成するための反応物質およびエッチングするための反応物質は交互に与えられる。加えて、RF電力、温度、および/または圧力は、ガス変調サイクリックプロセスにおけるそれぞれのフェーズのために最適化された条件を提供するために、ガスフローに同期してパルス化されえる。
他の実施形態において、キャリアガスおよび反応物質の全体のガス混合物が交互にされえる。再び、RF電力、温度、および/または圧力は、サイクリックプロセスにおけるそれぞれのフェーズのために最適化された条件を提供するために、ガスフローに同期してパルス化されえる。他の実施形態において、両方のフェーズについて同じガスが用いられえるが、相対的なフロー比は、それぞれのフェーズについて変化される。したがって、異なるガス化学反応をガス変調サイクリックプロセスの2つの異なるフェーズ間で与えるために、2つの全く異なるガスを用いえ、または同じキャリアガスおよび異なるアクティブガスを用いえ、または異なる相対フローを持つ同じガスを用いえる。
保護層を形成することおよびエッチングすることの両方に同じキャリアガスを用いた異なるガス化学反応の例において、エッチングガス源からのこのエッチャントガスは、保護層形成フェーズのあいだはプラズマ処理チャンバに提供されない。これは、エッチングガスまたは堆積ガスの成分を提供しないことによってなされえる。例えば、酸素または酸素を含むガスがエッチングガスの主要なエッチング成分である。C4F6がエッチャントガス中で用いられるとしても、エッチングはこの例では酸素がないとC4F6によっては達成されえない。したがって、酸素または酸素を含むガスを保護層形成フェーズのあいだに提供しないことは、C4F6が保護層の形成のあいだに提供されるとしても、保護層形成フェーズのあいだにエッチングガスを提供しない方法である。保護層形成のプロセスは、保護コーティングを形成するために、非エッチングまたはせいぜい無視できる程度のエッチング(エッチングされるべき層の10%より少ないだけ含む)であることも好ましい。このような堆積プロセスは、以下に限定されないが、プラズマエンハンストCVD堆積またはスパッタリングでありえ、これはCVDおよびスパッタリングはエッチングに用いられないからである。もし堆積ガスが、エッチングフェーズにおけるポリマー形成物と同じであるなら、堆積ガスはエッチングフェーズのあいだに提供されえる。加えて、エッチングフェーズのあいだのバイアス電力は、方向性エッチングを提供するためにより高くてもよい。
エッチングフェーズのあいだに重合を提供するために別個の堆積フェーズおよびポリマー形成物の存在を提供することは、より高いエッチングレートおよびより良い異方性エッチングのために、より高いエネルギーのエッチングイオンの使用を可能にする。パッシベーションガスをエッチングフェーズ混合物中に維持することによって、エッチングマスクの許容できないエロージョンおよびダメージなしに、より高いイオンエネルギーを用いることが可能になる。加えて、異方性エッチングは、エッチングフェーズ期間のあいだに達成されえる。交互に起こる保護層形成フェーズおよびエッチングフェーズを持つサイクリックプロセスを用いることによって、マスク保護が最適化されえる。このアプローチは、放電中のエッチングおよびリタデーションガスの相互反応を避ける。例えば、堆積化学物質の混合物は、エッチング混合物によって作られるよりも、より堅くより耐久性のあるコーティングを形成するよう選ばれえる。加えて、圧力および濃度のような堆積化学反応条件は、堆積および厚さのような保護層形成の特性を最適化するよう調整されえる。
堆積ガスの成分の一部は、エッチングガスの成分と混合されないことが望ましいかもしれず、それは混合することによっては、別個の堆積およびエッチングフェーズを有することの効率を低下させるからである。その結果、そのような場合のコントローラは、他のガスが加えられる前に一つのガスがなくなるように変調ガスフローを同期させる。
独立した保護層形成およびエッチング・パッシベーションフェーズを有することによって、温度、電力、圧力、イオンエネルギー、および処理ガスのようなプロセス条件は、それぞれのフェーズについて最適な条件を提供するために独立に制御され変化されえる。
エッチングおよび保護層形成の両方のあいだに、アルゴンまたは他の不活性ガスがキャリアガスとして用いられえる。他の不活性ガスの例はネオンである。
本発明の実施形態において、プラズマ(電気的放電によって保持された化学物質および荷電粒子の混合物)に接触しえるチャンバ壁領域は、なるべく小さくされ、かつ高くされた温度に維持されるようにされる。この目的は、あるプロセスステップ中で形成されたチャンバ壁領域のコーティング中に含まれた化学成分が、放出されて後続のステップに干渉する、いわゆるチャンバ「メモリ」効果を避けるためである。チャンバ壁領域上の総堆積を最小化することによって、この効果は低減されえ、それによって、パフォーマンスを悪化しえる2つの異なるフェーズ間での相互作用を避けることができる。
前駆物質源からプロセスチャンバへのガス行程時間は非常に短くされることも望ましいかもしれない。一定の所望のフローを確立する時間およびプロセスチャンバにおける前記ガスの完全な不存在を確立する時間を表す、このガスフロー安定時間は、非常に短くされることによって、ある安定なガス混合物の成分から次への遷移が非常に高速にされえる。この目的は、パフォーマンスを悪化しえる、2つの異なるフェーズ間での化学物質群の相互混合を避けることにある。
放電条件および電力要件の変化について、電力の電気的放電への変換を制御する電気システムおよび制御ネットワークが非常に速く応答することも望ましいかもしれない。さらに、ガス混合物の圧力およびウェーハ基板の温度のようなプロセスチャンバの他の外部条件を迅速に変化および安定化させることも望ましいかもしれない。このような条件を迅速に変化させることは、より短い総サイクル時間を可能にし、それぞれのフェーズを個別に最適化するためにプロセス条件がフェーズ間で大きく変化されることを可能にする。したがって、処理条件の迅速な変調を制御および同期することができるコンピュータ化されたシステムを有することも望ましいかもしれない。このコンピュータシステムは、要求された周期的変化のためのコマンドを送り、処理チャンバ内の複数の条件変化を提供するさまざまな装置についての所定の時間遅延を用いてこれらコマンドを同期させるのに用いられる。
本発明の他の実施形態は、サイクリックプロセスへの1つ以上の追加フェーズを提供しえる。例えば、ガス変調サイクリックプロセスは、単一のサイクル中の3つの堆積フェーズおよび3つのエッチングフェーズのような6つのフェーズを有しえる。追加フェーズを足すことは、それぞれのサイクルの複雑さが増すことによって制限されえる。
他の実施形態は、エッチングフェーズのあいだにガスを提供するポリマーの使用をなくしえる。本発明の他の実施形態は、堆積フェーズおよびエッチングフェーズの代わりに2つのエッチングフェーズを提供しえる。このような実施形態において、1つのエッチングフェーズは、テーパー化されたプロファイルを生むエッチングプロセス条件でありえ、一方、第2エッチングフェーズは、へこんだプロファイルを生むエッチングプロセス条件でありえる。短いサイクル時間の体制において、サイクルのそれぞれのフェーズは、エッチングされつつある表面の1つ未満、大まかに1つ、または2,3のモノレイヤを変化させえる。この場合において、2つの異なるエッチングフェーズ間で交互に変わることは、微細なプロセス制御能力を作りえる。再び、ガス変調サイクリックアプローチは、単一のステップの定常状態条件によって作られえない、表面への種の混合物を送る能力を提供する。またそれぞれのフェーズにおける作られる種群の間の比は、サイクル時間比によって容易に制御される。本発明の他の実施形態は、2つの堆積フェーズおよび単一のエッチングフェーズを提供しえる。本発明の他の実施形態は、単一の堆積フェーズおよび2つのエッチングフェーズを提供しえる。本発明の他の実施形態は、ガス変調サイクリックプロセスのシーケンスを提供しえ、それぞれのサイクリックプロセスは、総サイクル時間、サイクル時間比、および/または個々のフェーズのプロセス条件によって区別される。これらフェーズの条件は、ガス成分、ガスフロー、RF電力、圧力、および/または温度を含む。
HARC構造をエッチングすることに応用される、本発明の具体的な例は、プロセスチャンバ500について、カリフォルニア州、フリーモント市所在のLam Research Corporation(商標)によって製造されたExelan(登録商標)HPT誘電体エッチングシステムを用いる。この例で用いられるウェーハは、2.1μmSiO2層、パターン付きフォトレジストマスク、およびSiO2層およびフォトレジストマスク間の底部反射防止膜(BARC)を含む。この例で用いられるSiO2層は、テトラエチルオルソシリケート(TEOS)前駆物質でプラズマエンハンストCVDを用いて堆積される。フォトレジストマスクは、0.16μm以下のコンタクト微小寸法(CD)を作るために193nmフォトリソグラフィを用いてパターン付けされる。
この例では、ガス変調サイクルエッチングのための構造の準備(ステップ306)は、BARCエッチングステップである。この例では、BARCエッチングステップは、多くの既知のBARCエッチングステップのうちの一つでありえる。
BARCエッチングステップの完了と共に、サイクリックプロセスがExelan HPT誘電体エッチングシステムにおいて実行される。変更なしでExelan HPT誘電体エッチングシステムを用いるこの例において、プラズマは、それぞれのサイクルにおいて2回消される。すなわち、保護層形成フェーズ316の終わりにおいてと、エッチングフェーズ320の終わりにおいてとである。プラズマを消すことは、遷移期間における柔軟性を可能にする。この場合、ガスフローおよび圧力を安定化させるために、プロセスの次のフェーズのための準備をするために数秒が必要とされる。しかし消されたプラズマを用いるとこれらの遷移はプロセスの結果にほとんど影響を与えないか、全く影響を与えない。それぞれのエッチングフェーズの開始においてプラズマの再点火を可能にするために、エッチングフェーズの初期2秒は、そのフェーズの残りの部分よりも、より高い圧力およびより低いRF電力を利用する。エッチングフェーズのこの開始部分は、全体のエッチングフェーズ時間の一部と考えられる。総処理時間、総サイクル時間、およびサイクル時間比を計算するときには、プラズマオン時間の期間だけが考慮される。したがって、定格で320秒のサイクルプロセスは実際には実時間で実行するためにはより長い時間かかる。時間のこの非効率な使用は、このアプローチの主要な欠点である。しかしこのアプローチは、変更されないシステムに対して新規な結果を提供する。
サイクリックプロセス312の保護層形成フェーズ316は、以下のプロセスパラメータによって定義される。ウェーハ領域における圧力は120ミリトールであり、500ワットのRF電力が27MHzにおいて供給され、500ワットが2MHzにおいて供給される。プロセスガスフローは、アルゴン500sccmおよびCH3F30sccmである。静電チャックは35℃の温度に設定される。背面チャックヘリウム圧力は15トールに設定される。この例では、堆積ガス源512はCH3Fを提供し、これはエッチングのあいだは提供されない。アルゴンは追加ガス源516から提供されえるが、これはアルゴンが堆積およびエッチングの両方のあいだに提供されるからである。保護層形成フェーズを開始するために、コントローラ535は、第1バルブ537を開け、第2バルブ539を閉じる。コントローラは、追加ガス源からのアルゴンのフローも制御する。コントローラ535は、電力および他のパラメータも上述のように制御する。
サイクリックプロセス312のエッチングフェーズ320は、以下のプロセスパラメータによって定義される。ウェーハ領域における圧力は55ミリトールであり、1000ワットのRF電力を27MHzにおいて供給し、1800ワットを2MHzにおいて供給する。プロセスガスフローは、アルゴン270sccm、C469sccm、およびO210sccmである。C46はポリマー形成物ガスであり、これはエッチングのあいだに重合を行う。O2は、エッチングイネイブラガスである。C46からのフッ素はエッチングで用いられるが、この例でフッ素は、エッチングを可能にするために酸素の存在を必要とする。チャックは、35℃の温度に設定される。背面のチャックヘリウム圧力は15トールに設定される。この例では、エッチャントガス源514は、C46およびO2を提供し、これらは保護層形成フェーズのあいだに提供されないが、酸素なしでC46は堆積のために用いられえる。エッチングフェーズを開始するために、コントローラ535は、第1バルブ537を閉じ、第2バルブ539を開ける。コントローラは、追加ガス源からのアルゴンのフローも制御する。コントローラ535は、上述のように電力および他のパラメータを制御する。
この例では、第1にBARCエッチングが50秒(ステップ308)実行される。次にサイクリックプロセスが320秒(ステップ312)実行され、ここでプラズマオフ期間は、フェーズの時間または総サイクル時間にカウントされない。保護層形成フェーズ316の持続期間は2秒である。エッチングフェーズ320の持続期間は6秒であり、これは2秒の開始状態も含む。したがって総サイクル時間は8秒であり、サイクル時間比は、1:3(保護層形成フェーズ:エッチングフェーズ)である。サイクルは40回反復される。サイクリックプロセス(ステップ312)が完了した後、フォトレジストが剥離される。
図6および7は、走査電子顕微鏡写真であり、コンタクト開口について0.16μmの定格微小寸法を持つコンタクトの密なアレイにおけるエッチングの結果を示す。総エッチング深さは、窒化シリコンの阻止層に到達するのに充分ではないので、これら結果は部分的なエッチングプロセスを表し、エッチングパフォーマンスを評価するためにしばしば用いられる。
コンタクトは、円604の形状における不規則性として見られる小さな程度のストライエーションを示す。サイクリックプロセスなしでは、このストライエーションは典型的にはこのエッチング応用例についてはずっと悪くなる。
図7は、PR剥離後のエッチングされたコンタクト704のプロファイル図である。エッチングプロファイルはかなり垂直であり、頂部付近にわずかなへこみがあるだけである。部分的エッチングにはよくあるように、形状の底部付近にはテーパリングが存在する。形状がエッチングされて完了するときには、例えば、阻止層が露出されるときには、このテーパリングは典型的には除去される。エッチング深さは約2μmである。コンタクトの一部が他のコンタクトよりもずっと少ないエッチング深さを示すものとして観測されるようなエッチング阻止の証拠は存在しない。これらエッチング結果は全体として、サイクリックプロセスが、妥当なエッチングプロファイル、低ストライエーション、およびエッチング阻止なしの高アスペクト比コンタクトをエッチングできることを示す。この例は、完全に最適化されていないかもしれないが、この例は、本発明がより優れたパフォーマンスを提供しえることを示すのに役立つ。
好ましい実施形態はプロセス装置を改変することによって、その装置が好ましいプロセスを提供することができるようにし、このプロセスは、1秒未満のフロー安定化時間を持つ迅速なガス変調を提供する。このような実施形態において、プラズマは、サイクリックプロセス312の持続期間のあいだ点火された状態を維持し、したがってプラズマオフ時間は存在しない。
図10Aおよび10Bは、本発明の実施形態において用いられるコントローラ535を実現するのに適するコンピュータシステム1000を示す。図10Aは、コンピュータの一つの可能な物理的形態を示す。もちろんコンピュータシステムは、集積回路、プリント基板、および小型携帯機器から、大型のスーパーコンピュータに至るまで多くの物理的形態をとりえる。コンピュータシステム1000は、モニタ1002、ディスプレイ1004、筐体1006、ディスクドライブ1008、キーボード1010、およびマウス1012を含む。ディスク1014は、データをコンピュータシステム1000に転送し、かつデータをコンピュータシステム1000から転送するために用いられるコンピュータ読み取り可能な媒体である。
図10Bは、コンピュータ1000のブロック図の例である。システムバス1020に接続されているのは、さまざまなサブシステムである。単一または複数のプロセッサ1022(中央処理装置、またはCPUとも呼ばれる)は、メモリ1024を含む記憶装置に結合されている。メモリ1024は、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)を含む。この技術ではよく知られるようにROMは、データおよび命令を単一方向にCPUおよびRAMに転送するようにはたらき、RAMは、典型的にはデータおよび命令を双方向に転送するのに用いられる。メモリのこれら両方のタイプは、以下に述べるコンピュータ読み出し可能な適当な媒体を含みえる。固定ディスク1026はまた、双方向でCPU1022に結合され、追加のデータ記憶容量を提供し、また以下に述べるコンピュータ読み出し可能な適当な媒体を含みえる。固定ディスク1026は、プログラム、データなどを記憶するのに用いられえて、典型的には一次記憶よりも低速な二次記憶媒体(ハードディスクのような)である。固定ディスク1026内に保持された情報は、適切な場合においては、メモリ1024の仮想メモリとして標準的なかたちで統合されえることが理解されよう。取り外し可能なディスク1014は、以下に説明するコンピュータ読み出し可能な媒体のいかなる形態をも取りえる。
CPU1022はまた、ディスプレイ1004、キーボード1010、マウス1012およびスピーカ1030のようなさまざまな入力/出力装置に結合される。一般に入力/出力装置は、ビデオディスプレイ、トラックボール、マウス、キーボード、マイク、タッチパネルディスプレイ、トランスデューサカードリーダ、磁気または紙テープリーダ、タブレット、スタイラス、音声または手書き認識機、生体情報読み取り機、または他のコンピュータのいずれでもよい。CPU1022は追加で、ネットワークインタフェース1040を用いて他のコンピュータまたは通信ネットワークに結合されてもよい。そのようなネットワークインタフェースによりCPUは、上述の方法ステップを実行する過程で、ネットワークから情報を受け取り、または情報をネットワークに出力してもよい。さらに本発明の方法の実施形態は、CPU1022上だけで実行されてもよく、またはインターネットのようなネットワーク上で、処理の一部を担当する遠隔地にあるCPUと協働して実行されてもよい。
さらに本発明の実施形態は、コンピュータによって実現できるさまざまな操作を実行するコンピュータコードを格納した、コンピュータによって読み出し可能な媒体を持つコンピュータ記憶製品に関する。媒体およびコンピュータコードは、本発明の目的のために特別に設計され構築されたものでもよく、またはコンピュータソフトウェア技術の当業者に既知の利用可能なものであってもよい。コンピュータ読み出し可能な媒体の例としては、これらに限定はされないが、ハードディスク、フレキシブルディスク、および磁気テープのような磁気媒体、CD−ROMおよびDVDおよびホログラフィックデバイスのような光媒体、フロプティカルディスクのような光磁気媒体、特定アプリケーション向け集積回路(ASIC)、プログラム可能な論理デバイス(PLD)、およびROMおよびRAMデバイスのように、プログラムコードを記憶し実行するために特別に構成されたハードウェアデバイスが挙げられる。コンピュータコードの例としては、コンパイラによって生成される機械語、およびインタープリタを用いてコンピュータによって実行可能なより高いレベルのコードを含むファイルが挙げられる。コンピュータで読み取り可能な媒体は、搬送波中で実現され、プロセッサによって実行可能な命令のシーケンスを表すコンピュータデータ信号によって伝送されるコンピュータコードでもありえる。
本発明は、いくつかの好ましい実施形態について説明されてきたが、本発明の範囲に含まれる変更、組み合わせ、および等価物が存在する。また本発明の方法および装置を実現する多くの代替手段が存在ことにも注意されたい。したがって添付の特許請求の範囲は、全てのそのような変更、組み合わせ、および等価物を本発明の真の精神および範囲に含まれるものとして解釈されるべきであることが意図されている。
従来技術のプロセスを通したコンタクトホール形状の形成の概略図である。 従来技術のプロセスを通したコンタクトホール形状の形成の概略図である。 従来技術のプロセスを通したコンタクトホール形状の形成の概略図である。 他の従来技術のプロセスを通したコンタクトホール形状の形成の概略図である。 他の従来技術のプロセスを通したコンタクトホール形状の形成の概略図である。 他の従来技術のプロセスを通したコンタクトホール形状の形成の概略図である。 他の従来技術のプロセスを通したコンタクトホール形状の形成の概略図である。 他の従来技術のプロセスを通したコンタクトホール形状の形成の概略図である。 他の従来技術のプロセスを通したコンタクトホール形状の形成の概略図である。 本発明の実施形態のフロー図である。 本発明のプロセスを用いたコンタクトホールの形成の概略図である。 本発明のプロセスを用いたコンタクトホールの形成の概略図である。 本発明のプロセスを用いたコンタクトホールの形成の概略図である。 本発明のプロセスを用いたコンタクトホールの形成の概略図である。 本発明のプロセスを用いたコンタクトホールの形成の概略図である。 本発明のプロセスを用いたコンタクトホールの形成の概略図である。 本発明を実施するのに用いられるシステムの概略図である。 本発明の例を用いたコンタクトの密なアレイをエッチングした結果の上面図の走査電子顕微鏡写真である。 本発明の例を用いたコンタクトの密なアレイをエッチングした結果のプロファイル図の走査電子顕微鏡写真である。 サブモノレイヤを用いた高速サイクルの本発明の体制における表面上の材料の構築を概略的に示す図である。 サブモノレイヤを用いた高速サイクルの本発明の体制における表面上の材料の構築を概略的に示す図である。 サブモノレイヤを用いた高速サイクルの本発明の体制における表面上の材料の構築を概略的に示す図である。 サブモノレイヤを用いた高速サイクルの本発明の体制における表面上の材料の構築を概略的に示す図である。 サブモノレイヤを用いた高速サイクルの本発明の体制における表面上の材料の構築を概略的に示す図である。 低速サイクルの体制における表面上の材料の構築を概略的に示す図である。 低速サイクルの体制における表面上の材料の構築を概略的に示す図である。 低速サイクルの体制における表面上の材料の構築を概略的に示す図である。 低速サイクルの体制における表面上の材料の構築を概略的に示す図である。 本発明の実施形態において用いられるコントローラを実現するのに適するコンピュータシステムを示す図である。 本発明の実施形態において用いられるコントローラを実現するのに適するコンピュータシステムを示す図である。

Claims (19)

  1. 基板上をエッチングマスクを通して層中の形状をエッチングする方法であって、
    ガス変調サイクリックプロセスを3サイクルより多く実行すること
    を含み、それぞれのサイクルは、
    堆積ガス化学物質を持つ第1ガス化学物質を用いて保護層形成フェーズを実行することを含み、前記保護層形成フェーズはそれぞれのフェーズについて約0.0055から7秒のあいだ実行され、前記保護層形成フェーズを実行することは、
    前記堆積ガスを提供すること、および
    前記堆積ガスからプラズマを形成すること
    を含み、
    前記エッチングマスクを通して第2ガス化学物質を用いて反応性エッチングガス化学反応を用いて前記形状をエッチングするためのエッチングフェーズを実行することであって、前記第1ガス化学物質は前記第2ガス化学物質とは異なり、前記エッチングフェーズはそれぞれのフェーズについて約0.005から14秒のあいだ実行され、前記エッチングフェーズを実行することは、
    反応性エッチングガスを提供すること、および
    前記反応性エッチングガスからプラズマを形成すること
    を含む方法。
  2. 請求項1に記載の方法であって、前記保護層形成フェーズは、100Å厚未満の層を形成する方法。
  3. 請求項1に記載の方法であって、前記保護層形成フェーズは、約1および10Åの間の層を形成する方法。
  4. 請求項1に記載の方法であって、前記エッチングフェーズは、200電子ボルトより大きいイオン衝突エネルギーを前記基板に提供する方法。
  5. 請求項1に記載の方法であって、前記第2ガス化学物質は、ポリマー形成物およびエッチングイネーブラーを含む方法。
  6. 請求項1に記載の方法であって、前記保護層形成フェーズおよび前記エッチングフェーズは、共通したプラズマ処理チャンバ内で実行される方法。
  7. 請求項1に記載の方法であって、前記保護層形成フェーズは非方向性堆積を用い、前記エッチングステップは、方向性エッチングを用いる方法。
  8. 請求項7に記載の方法であって、前記非方向性堆積は、化学気相成長およびスパッタリングのうちの少なくとも1つから選択される方法。
  9. 請求項1に記載の方法であって、前記エッチングマスクは、193nm以下のフォトリソグラフィに基づくフォトレジストマスクである方法。
  10. 請求項1に記載の方法であって、前記ガス変調サイクリックプロセスの前記実行は、第3フェーズをさらに含む方法。
  11. 請求項1に記載の方法であって、前記保護層フェーズのそれぞれの適用はサブモノレイヤを形成する方法。
  12. 請求項1に記載の方法であって、前記ガス変調サイクリックプロセスを調整するために前記保護層形成フェーズおよび前記エッチングフェーズの前記時間を調節することをさらに含む方法。
  13. 請求項1に記載の方法であって、前記ガス変調サイクリックプロセスは、20サイクルより多く適用される方法。
  14. 請求項1に記載の方法であって、前記ガス変調サイクリックプロセスは、少なくとも100サイクル適用される方法。
  15. 請求項1に記載の方法であって、
    前記層が完全にエッチングされる前に、前記ガス変調サイクリックプロセスを停止すること、および
    前記層のエッチングを完了するために非サイクリックエッチングを提供すること
    をさらに含む方法。
  16. 請求項1に記載の方法であって、それぞれのサイクルは、約0.01から21秒の間の期間を有する方法。
  17. 基板上をエッチングマスクを通して層中の形状をエッチングする装置であって、
    前記基板がその中に配置されえるプロセスチャンバ、
    堆積ガス化学物質の第1ガス化学物質を提供する第1ガス化学物質源、
    反応性エッチングガス化学物質の第2ガス化学物質を提供する第2ガス化学物質源、
    前記第1ガス化学物質源および前記第2ガス化学物質源に制御可能に接続されたコントローラであって、前記コントローラは、ガス変調サイクリックプロセスを3サイクルより多く実行するコンピュータで読み取り可能な媒体を備える、コントローラであって、
    堆積ガス化学物質を持つ第1ガス化学物質を用いて保護層形成フェーズを実行するコンピュータ命令を含み、前記保護層形成フェーズはそれぞれのフェーズについて約0.0055から7秒のあいだ実行され、前記コンピュータ命令は、
    前記堆積ガスを提供するコンピュータ命令、および
    前記堆積ガスからプラズマを形成するコンピュータ命令
    を含み、
    前記エッチングマスクを通して第2ガス化学物質を用いて反応性エッチングガス化学反応を用いて前記形状をエッチングするためのエッチングフェーズを実行するコンピュータ命令であって、前記第1ガス化学物質は前記第2ガス化学物質とは異なり、前記エッチングフェーズはそれぞれのフェーズについて約0.005から14秒のあいだ実行され、前記エッチングフェーズを実行するコンピュータ命令は、
    反応性エッチングガスを提供するコンピュータ命令、および
    前記反応性エッチングガスからプラズマを形成するコンピュータ命令
    を含む装置。
  18. 請求項17に記載の装置であって、
    前記コントローラによって制御される少なくとも1つのrf電力源、
    前記コントローラによって制御される少なくとも1つの圧力制御装置、および
    前記コントローラによって制御される少なくとも1つの温度制御装置であって、前記コントローラは、前記変調サイクリックプロセスの前記異なるフェーズのあいだにrf電力源からの電力を変化させるコンピュータ命令をさらに備える装置。
  19. 基板上の層をエッチングする方法であって、
    少なくとも3サイクルだけサイクリックプロセスを実行すること
    を含み、それぞれのサイクルは、
    第1エッチングフェーズを実行することであって、前記第1エッチングフェーズは、それぞれのサイクルについて約0.0055から14秒実行され、前記第1エッチングフェーズは、
    第1エッチングガスを提供すること、および
    前記第1エッチングガスからプラズマを形成すること
    を含み、
    第2エッチングフェーズを実行することであって、前記第2エッチングフェーズは、それぞれのサイクルについて約0.0055から14秒実行され、前記第2エッチングフェーズは、
    第2エッチングガスを提供することであって、前記第1エッチングガスは前記第2エッチングガスとは異なる、第2エッチングガスを提供すること、および
    前記第2エッチングガスからプラズマを形成すること
    を含む方法。
JP2006509625A 2003-04-09 2004-04-01 ガス化学反応の周期的変調を用いたプラズマエッチング方法 Expired - Lifetime JP5087271B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/411,520 2003-04-09
US10/411,520 US6916746B1 (en) 2003-04-09 2003-04-09 Method for plasma etching using periodic modulation of gas chemistry
PCT/US2004/010170 WO2004093176A1 (en) 2003-04-09 2004-04-01 Method for plasma etching using periodic modulation of gas chemistry

Publications (3)

Publication Number Publication Date
JP2006523030A true JP2006523030A (ja) 2006-10-05
JP2006523030A5 JP2006523030A5 (ja) 2007-05-24
JP5087271B2 JP5087271B2 (ja) 2012-12-05

Family

ID=33298339

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006509625A Expired - Lifetime JP5087271B2 (ja) 2003-04-09 2004-04-01 ガス化学反応の周期的変調を用いたプラズマエッチング方法

Country Status (8)

Country Link
US (2) US6916746B1 (ja)
EP (1) EP1611603A4 (ja)
JP (1) JP5087271B2 (ja)
KR (1) KR101083623B1 (ja)
CN (1) CN100411106C (ja)
RU (1) RU2339115C2 (ja)
TW (1) TWI365495B (ja)
WO (1) WO2004093176A1 (ja)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2006003962A1 (ja) * 2004-07-02 2008-04-17 株式会社アルバック エッチング方法及び装置
WO2008151120A1 (en) * 2007-06-04 2008-12-11 Lam Research Corporation Method for reducing microloading in etching high aspect ratio structures
JP2009033080A (ja) * 2006-10-06 2009-02-12 Tokyo Electron Ltd プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP2009267250A (ja) * 2008-04-28 2009-11-12 Ulvac Japan Ltd プラズマエッチング方法
JP2010518605A (ja) * 2007-02-05 2010-05-27 ラム リサーチ コーポレーション 超高アスペクト比の誘電体パルスエッチング
JP2012124535A (ja) * 2005-02-03 2012-06-28 Lam Research Corporation 複数のマスキングステップを用いて微小寸法を低減する方法
EP2492955A1 (en) 2011-02-28 2012-08-29 Tokyo Electron Limited Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium
JP2013510445A (ja) * 2009-11-09 2013-03-21 スリーエム イノベイティブ プロパティズ カンパニー 半導体の異方性エッチングプロセス
WO2013051282A1 (ja) * 2011-10-06 2013-04-11 東京エレクトロン株式会社 半導体装置の製造方法
JP2013145874A (ja) * 2011-12-21 2013-07-25 Imec Euvフォトレジスト封入
KR20140096370A (ko) * 2011-11-15 2014-08-05 램 리써치 코포레이션 플라즈마 처리에서의 불활성-우세한 펄싱
JP2016004983A (ja) * 2014-06-19 2016-01-12 東京エレクトロン株式会社 絶縁膜をエッチングする方法
JP2017143194A (ja) * 2016-02-10 2017-08-17 Sppテクノロジーズ株式会社 半導体素子の製造方法
KR20180117057A (ko) 2017-04-18 2018-10-26 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
JP2018200925A (ja) * 2017-05-25 2018-12-20 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP2019197903A (ja) * 2014-06-16 2019-11-14 東京エレクトロン株式会社 処理装置
JP2019212776A (ja) * 2018-06-05 2019-12-12 東京エレクトロン株式会社 成膜用組成物および成膜装置
JP2019212777A (ja) * 2018-06-05 2019-12-12 東京エレクトロン株式会社 成膜用組成物および成膜装置
US10553446B2 (en) 2017-04-18 2020-02-04 Tokyo Electron Limited Method of processing target object
KR102659567B1 (ko) 2017-03-03 2024-04-19 램 리써치 코포레이션 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
TWI270139B (en) * 2005-12-08 2007-01-01 Promos Technologies Inc Etching method and method of fabricating deep trench
JP2007214171A (ja) * 2006-02-07 2007-08-23 Hitachi High-Technologies Corp エッチング処理方法
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
US7541289B2 (en) * 2006-07-13 2009-06-02 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
KR101001875B1 (ko) 2006-09-30 2010-12-17 엘지이노텍 주식회사 등방성 에칭을 이용한 미세 패턴 형성방법 및 이를 이용하여 제조된 미세패턴이 형성된 반도체 기판 면상 부재
US20080149592A1 (en) * 2006-12-05 2008-06-26 Belen Rodolfo P Plasma etch process for controlling line edge roughness
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
KR100965774B1 (ko) * 2007-11-02 2010-06-24 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8227176B2 (en) * 2007-11-02 2012-07-24 Hynix Semiconductor Inc. Method for forming fine pattern in semiconductor device
KR101588909B1 (ko) 2007-12-21 2016-02-12 램 리써치 코포레이션 실리콘 구조의 제조 및 프로파일 제어를 이용한 딥 실리콘 에칭
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
US7883829B2 (en) * 2008-08-01 2011-02-08 International Business Machines Corporation Lithography for pitch reduction
US9018098B2 (en) * 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US8778804B2 (en) * 2009-01-30 2014-07-15 Fei Company High selectivity, low damage electron-beam delineation etch
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
US8747684B2 (en) * 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
CN101643904B (zh) * 2009-08-27 2011-04-27 北京北方微电子基地设备工艺研究中心有限责任公司 深硅刻蚀装置和深硅刻蚀设备的进气系统
CN102041508B (zh) * 2009-10-23 2012-07-25 中芯国际集成电路制造(上海)有限公司 刻蚀沟槽的方法
CN101789372A (zh) * 2010-02-11 2010-07-28 中微半导体设备(上海)有限公司 一种含硅绝缘层的等离子刻蚀方法
JP5264834B2 (ja) * 2010-06-29 2013-08-14 東京エレクトロン株式会社 エッチング方法及び装置、半導体装置の製造方法
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
RU2456702C1 (ru) * 2011-03-16 2012-07-20 Общество с ограниченной ответственностью "ЭСТО-Вакуум" Способ плазмохимического травления материалов микроэлектроники
US20120302065A1 (en) * 2011-05-26 2012-11-29 Nanya Technology Corporation Pulse-plasma etching method and pulse-plasma etching apparatus
US9214622B2 (en) * 2011-10-17 2015-12-15 Stmicroelectronics, Inc. Size-controllable opening and method of making same
US8765613B2 (en) 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9349595B2 (en) * 2012-07-11 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices
CN103578973B (zh) * 2012-07-29 2017-09-05 中国科学院微电子研究所 氮化硅高深宽比孔的循环刻蚀方法
US20140051256A1 (en) * 2012-08-15 2014-02-20 Lam Research Corporation Etch with mixed mode pulsing
CN103628075A (zh) * 2012-08-23 2014-03-12 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀方法
US9543158B2 (en) 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9054050B2 (en) 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
US9159561B2 (en) * 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US9418867B2 (en) 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
JP6373150B2 (ja) * 2014-06-16 2018-08-15 東京エレクトロン株式会社 基板処理システム及び基板処理方法
TWI733431B (zh) 2014-06-18 2021-07-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於tsv/mems/功率元件蝕刻的化學物質
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US10217681B1 (en) 2014-08-06 2019-02-26 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US9570320B2 (en) * 2014-10-09 2017-02-14 Lam Research Corporation Method to etch copper barrier film
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9978606B2 (en) * 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
US9595451B1 (en) 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US10522429B2 (en) * 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US9728421B2 (en) 2015-12-31 2017-08-08 International Business Machines Corporation High aspect ratio patterning of hard mask materials by organic soft masks
KR102072269B1 (ko) * 2016-02-22 2020-01-31 도쿄엘렉트론가부시키가이샤 패터닝된 층의 주기적 에칭을 위한 방법
CN107275202B (zh) * 2016-04-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 刻蚀方法及半导体结构的形成方法
GB201608926D0 (en) * 2016-05-20 2016-07-06 Spts Technologies Ltd Method for plasma etching a workpiece
US10497578B2 (en) 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
US9997364B2 (en) * 2016-10-19 2018-06-12 Lam Research Corporation High aspect ratio etch
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
KR102475069B1 (ko) 2017-06-30 2022-12-06 삼성전자주식회사 반도체 제조 장치, 이의 동작 방법
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10529578B2 (en) 2017-11-12 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor structure
DE102018010532B4 (de) 2017-11-12 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleiterstruktur
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
JP2019121750A (ja) * 2018-01-11 2019-07-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
CN112912251A (zh) * 2018-10-23 2021-06-04 Hzo股份有限公司 涂覆的基板的等离子灰化
US20200135898A1 (en) * 2018-10-30 2020-04-30 International Business Machines Corporation Hard mask replenishment for etching processes
US10886136B2 (en) * 2019-01-31 2021-01-05 Tokyo Electron Limited Method for processing substrates
US10629451B1 (en) 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
GB201917988D0 (en) * 2019-12-09 2020-01-22 Spts Technologies Ltd A semiconductor wafer dicing process
US20210391181A1 (en) * 2020-06-15 2021-12-16 Tokyo Electron Limited Forming a semiconductor device using a protective layer
WO2022039848A1 (en) * 2020-08-18 2022-02-24 Applied Materials, Inc. Methods for etching structures with oxygen pulsing
US11361971B2 (en) * 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
JP2001127049A (ja) * 1999-08-26 2001-05-11 Alcatel プラズマ真空基板処理方法およびシステム

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414059A (en) 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
JP3006048B2 (ja) * 1990-07-27 2000-02-07 ソニー株式会社 ドライエッチング方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
DE4317623C2 (de) 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JP2674488B2 (ja) * 1993-12-01 1997-11-12 日本電気株式会社 ドライエッチング室のクリーニング方法
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
EP1357584A3 (en) 1996-08-01 2005-01-12 Surface Technology Systems Plc Method of surface treatment of semiconductor substrates
DE19641288A1 (de) 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
DE19730644C1 (de) 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
KR100520148B1 (ko) * 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
JP2000208767A (ja) * 1998-11-13 2000-07-28 Seiko Epson Corp 半導体装置の製造方法
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6316169B1 (en) 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6500743B1 (en) * 2000-08-30 2002-12-31 Advanced Micro Devices, Inc. Method of copper-polysilicon T-gate formation
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6743727B2 (en) * 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6979652B2 (en) * 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240729A (ja) * 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
JP2001127049A (ja) * 1999-08-26 2001-05-11 Alcatel プラズマ真空基板処理方法およびシステム

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4796965B2 (ja) * 2004-07-02 2011-10-19 株式会社アルバック エッチング方法及び装置
JPWO2006003962A1 (ja) * 2004-07-02 2008-04-17 株式会社アルバック エッチング方法及び装置
JP2012124535A (ja) * 2005-02-03 2012-06-28 Lam Research Corporation 複数のマスキングステップを用いて微小寸法を低減する方法
JP2009033080A (ja) * 2006-10-06 2009-02-12 Tokyo Electron Ltd プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
KR101455883B1 (ko) * 2007-02-05 2014-11-03 램 리써치 코포레이션 펄스화된 초고 애스펙트비 유전체 식각
JP2010518605A (ja) * 2007-02-05 2010-05-27 ラム リサーチ コーポレーション 超高アスペクト比の誘電体パルスエッチング
WO2008151120A1 (en) * 2007-06-04 2008-12-11 Lam Research Corporation Method for reducing microloading in etching high aspect ratio structures
US7629255B2 (en) 2007-06-04 2009-12-08 Lam Research Corporation Method for reducing microloading in etching high aspect ratio structures
JP2009267250A (ja) * 2008-04-28 2009-11-12 Ulvac Japan Ltd プラズマエッチング方法
JP2013510445A (ja) * 2009-11-09 2013-03-21 スリーエム イノベイティブ プロパティズ カンパニー 半導体の異方性エッチングプロセス
US9039909B2 (en) 2011-02-28 2015-05-26 Tokyo Electron Limited Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium
EP2492955A1 (en) 2011-02-28 2012-08-29 Tokyo Electron Limited Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium
WO2013051282A1 (ja) * 2011-10-06 2013-04-11 東京エレクトロン株式会社 半導体装置の製造方法
JP2013084695A (ja) * 2011-10-06 2013-05-09 Tokyo Electron Ltd 半導体装置の製造方法
KR102188927B1 (ko) * 2011-11-15 2020-12-10 램 리써치 코포레이션 플라즈마 처리에서의 불활성-우세한 펄싱
KR20140096370A (ko) * 2011-11-15 2014-08-05 램 리써치 코포레이션 플라즈마 처리에서의 불활성-우세한 펄싱
JP2015503224A (ja) * 2011-11-15 2015-01-29 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理システムにおける不活性物優勢パルス化
JP2013145874A (ja) * 2011-12-21 2013-07-25 Imec Euvフォトレジスト封入
JP7208318B2 (ja) 2014-06-16 2023-01-18 東京エレクトロン株式会社 処理装置
JP7142611B2 (ja) 2014-06-16 2022-09-27 東京エレクトロン株式会社 処理装置
JP2019197903A (ja) * 2014-06-16 2019-11-14 東京エレクトロン株式会社 処理装置
JP2021184505A (ja) * 2014-06-16 2021-12-02 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP2016004983A (ja) * 2014-06-19 2016-01-12 東京エレクトロン株式会社 絶縁膜をエッチングする方法
JP2017143194A (ja) * 2016-02-10 2017-08-17 Sppテクノロジーズ株式会社 半導体素子の製造方法
KR102659567B1 (ko) 2017-03-03 2024-04-19 램 리써치 코포레이션 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법
US11139175B2 (en) 2017-04-18 2021-10-05 Tokyo Electron Limited Method of processing target object
US10553446B2 (en) 2017-04-18 2020-02-04 Tokyo Electron Limited Method of processing target object
US10381236B2 (en) 2017-04-18 2019-08-13 Tokyo Electron Limited Method of processing target object
KR20180117057A (ko) 2017-04-18 2018-10-26 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
JP2018200925A (ja) * 2017-05-25 2018-12-20 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP2019212777A (ja) * 2018-06-05 2019-12-12 東京エレクトロン株式会社 成膜用組成物および成膜装置
JP2019212776A (ja) * 2018-06-05 2019-12-12 東京エレクトロン株式会社 成膜用組成物および成膜装置

Also Published As

Publication number Publication date
WO2004093176A1 (en) 2004-10-28
RU2005131015A (ru) 2007-04-20
EP1611603A1 (en) 2006-01-04
WO2004093176B1 (en) 2005-01-13
TW200428515A (en) 2004-12-16
EP1611603A4 (en) 2010-10-13
JP5087271B2 (ja) 2012-12-05
CN100411106C (zh) 2008-08-13
KR101083623B1 (ko) 2011-11-16
TWI365495B (en) 2012-06-01
US6916746B1 (en) 2005-07-12
US20050136682A1 (en) 2005-06-23
KR20050112125A (ko) 2005-11-29
RU2339115C2 (ru) 2008-11-20
CN1802730A (zh) 2006-07-12

Similar Documents

Publication Publication Date Title
JP5087271B2 (ja) ガス化学反応の周期的変調を用いたプラズマエッチング方法
JP4971978B2 (ja) ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法
US6833325B2 (en) Method for plasma etching performance enhancement
JP5019748B2 (ja) プラズマエッチングのパフォーマンスを改善する方法
US7977390B2 (en) Method for plasma etching performance enhancement
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
IL190716A (en) Method for plasma etching
TW589403B (en) Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US20210335624A1 (en) Method for etching an etch layer
CN113035708A (zh) 基片处理方法和基片处理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070327

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070327

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100427

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101012

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110210

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110316

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20110513

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120717

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120910

R150 Certificate of patent or registration of utility model

Ref document number: 5087271

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150914

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250