JP2010518605A - 超高アスペクト比の誘電体パルスエッチング - Google Patents

超高アスペクト比の誘電体パルスエッチング Download PDF

Info

Publication number
JP2010518605A
JP2010518605A JP2009548493A JP2009548493A JP2010518605A JP 2010518605 A JP2010518605 A JP 2010518605A JP 2009548493 A JP2009548493 A JP 2009548493A JP 2009548493 A JP2009548493 A JP 2009548493A JP 2010518605 A JP2010518605 A JP 2010518605A
Authority
JP
Japan
Prior art keywords
etching
gas
carbon
dielectric layer
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009548493A
Other languages
English (en)
Other versions
JP5503976B2 (ja
JP2010518605A5 (ja
Inventor
チ・キョン−クー
エデルバーグ・エリック・エー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2010518605A publication Critical patent/JP2010518605A/ja
Publication of JP2010518605A5 publication Critical patent/JP2010518605A5/ja
Application granted granted Critical
Publication of JP5503976B2 publication Critical patent/JP5503976B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【解決手段】エッチングチャンバ内で炭素系マスクを介して超高アスペクト比フィーチャの誘電体を選択的エッチングするための方法が提供されている。フルオロカーボン含有分子および酸素含有分子を含むエッチングガスの流れが、エッチングチャンバに供給される。パルスバイアスRF信号が供給される。エッチングガスをプラズマに変換するために、励起RF信号が供給される。
【選択図】図4B

Description

本発明は、半導体デバイスの製造に関し、特に、超高アスペクト比のフィーチャを用いる半導体デバイスの製造に関する。
半導体デバイスの製造では、プラズマエッチング処理が一般に用いられる。一般に、フォトレジスト材料が、エッチングされるウエハの表面上にフィーチャのパターンを形成し、その後、ウエハを特定の種類のエッチングガスに曝露することによって、フィーチャがウエハにエッチングされる。プラズマエッチングの抱える課題の一つは、特に超高密度の構造について、設計要件を満たすのに必要なアスペクトが大きくなり続けていることである。半導体ウエハにフィーチャをエッチングする場合、エッチングされたフィーチャのアスペクト比は、フィーチャの深さとフィーチャのクリティカルディメンション(CD)との間の比として定義される。より高密度の構造を作り出すためには、より多くのフィーチャが1枚のウエハに形成されるので、個々のフィーチャのCDは必然的に小さくなるが、フィーチャの深さは変わらない。従って、個々のフィーチャのアスペクト比は、デバイスのフィーチャが縮小するにつれて大きくなる。
エッチング中に楕円率が変化するという問題が見つかっている。
上記に鑑みて本発明の目的に従い、エッチングチャンバ内で炭素系マスクを介して超高アスペクト比フィーチャの誘電体を選択的エッチングするための方法が提供される。フルオロカーボン含有分子および酸素含有分子を含むエッチングガスの流れが、エッチングチャンバに供給される。パルスバイアスRF信号が供給される。エッチングガスをプラズマに変換するために、励起RF信号が供給される。
本発明の別の態様では、エッチングチャンバ内で炭素系マスクを介して超高アスペクト比フィーチャの誘電体を選択的エッチングするための方法が提供されている。フルオロカーボン含有分子および酸素含有分子を含むエッチングガスの流れが、エッチングチャンバに供給される。パルスバイアスRF信号が供給される。エッチングガスをプラズマに変換するために、励起RF信号が供給される。
本発明の別の態様では、炭素系マスクの下方のエッチング層にUHARフィーチャをエッチングするための装置が提供されている。プラズマ処理チャンバが、プラズマ処理チャンバ容器を形成するチャンバ壁と、プラズマ処理チャンバ容器内で基板を支持するための基板支持と、プラズマ処理チャンバ容器内の圧力を調整するための圧力調整部と、プラズマ処理チャンバ容器に電力を供給してプラズマを維持するための少なくとも1つの電極と、1kHzから10MHzの間のRF周波数を有する信号を供給するRFバイアス電源と、RFバイアス電源に接続され、RFバイアス電源をパルス化することが可能なパルス生成部と、1kHzから5MHzの間のRF周波数を有する信号を供給する第1のRF励起電源と、10MHzから40MHzの間のRF周波数を有する信号を供給する第2のRF励起電源と、プラズマ処理チャンバ容器内にガスを供給するためのガス流入口と、プラズマ処理チャンバ容器からガスを排出するためのガス流出口と、を備える。ガス源が、ガス流入口と流体連通しており、酸素源およびフルオロカーボンポリマガス源を備えている。制御部が、ガス源、RFバイアス源、第1のRF励起電源、第2のRF励起電源、および、少なくとも1つの電極に対して、制御可能に接続されており、少なくとも1つのプロセッサと、炭素系マスクに対する誘電体層の選択的エッチングを提供するためのコンピュータ読み取り可能なコードであって、第1の選択的エッチングはフルオロカーボン系ポリマの正味の蒸着を提供する、コンピュータ読み取り可能なコードを備えたコンピュータ読み取り可能な媒体と、を備える。誘電体層の選択的エッチングを提供するためのコンピュータ読み取り可能なコードは、酸素源からの酸素とフルオロカーボンポリマガス源からのフルオロカーボンポリマガスとを含むエッチングガスの流れを、処理チャンバ容器に供給するためのコンピュータ読み取り可能なコードと、RFバイアス電源に電圧を印加するためのコンピュータ読み取り可能なコードと、RFバイアス電源をパルス化するためのコンピュータ読み取り可能なコードと、第1のRF励起電源および第2のRF励起電源に電圧を印加して、エネルギを供給し、エッチングガスおよびポリマ形成ガスからプラズマを形成するためのコンピュータ読み取り可能なコードと、を備える。
添付の図面を参照しつつ行う本発明の詳細な説明において、本発明の上述の特徴およびその他の特徴を詳述する。
本発明の一実施形態の概略フローチャート。 本発明の実施の際に利用可能なエッチングリアクタを示す説明図。 本発明の1または複数の実施形態で用いられる制御部の実装に適したコンピュータシステムを示す図。 本発明の1または複数の実施形態で用いられる制御部の実装に適したコンピュータシステムを示す図。 本発明の一実施形態に従って、エッチングされた層の略断面図。 本発明の一実施形態に従って、エッチングされた層の略断面図。 本発明の一実施形態に従って、エッチングされた層の略断面図。 本発明の一実施形態に従って、エッチングされた層の略断面図。 ねじれの量の測定を示す説明図。 楕円を示す説明図。
以下では、添付図面に例示されたいくつかの好ましい実施形態を参照しつつ、本発明の詳細な説明を行う。以下の説明では、本発明の完全な理解を促すために、数多くの具体的な詳細事項が示されている。しかしながら、当業者にとって明らかなように、本発明は、これらの具体的な詳細事項の一部または全てがなくとも実施することが可能である。また、本発明が不必要に不明瞭となるのを避けるため、周知の処理工程および/または構造については、詳細な説明を省略した。
ホールなどの超高アスペクト比(UHAR)フィーチャのエッチング中に、ねじれ(twisting)が生じる。以下に制約されることは望まないが、ねじれは非対称なエッチングの結果であるという仮説がある。フィーチャのアスペクト比が増大すると、非対称なエッチングの原因となるいくつかのメカニズムが生じる。発見された1つのメカニズムは、マスク上に正味のフルオロカーボンポリマ層を蒸着するエッチング中に、フルオロカーボンポリマが非対称に(不均一に)蒸着されるというメカニズムである。
一部のUHARフィーチャについては、コンタクトの間隔をより近接させることができるように、楕円断面が望ましい。かかる楕円断面は長径と短径を有しており、楕円の直径とは楕円の長径を指す。楕円率は、短径に対する長径の比と定義される。かかるUHARフィーチャのエッチングで見いだされる別の歪みは、楕円の短径が減少すると共に楕円の長径が増大しうることから楕円率が増大する傾向があるという点である。
本発明は、UHAR誘電体エッチングにおけるねじれを低減するための装置および方法を提供する。半導体デバイスの加工の際には、しばしば、基板上のエッチング対象となる層内にマスクを介して、フィーチャがエッチングされる。フィーチャのアスペクト比は、フィーチャ開口部分の深さと幅の比である。本明細書および特許請求の範囲において、本発明にとってフィーチャの超高アスペクト比(UHAR)とは、深さと幅の比が25:1より大きいものと定義する。本発明でのフィーチャのUHARは、少なくとも30:1と定義することがより好ましい。UHARは、少なくとも40:1と定義することが最も好ましい。さらに、本発明は、300ナノメートル(nm)以下の幅を有する誘電体層におけるフィーチャのエッチングに適用されることが好ましい。本発明は、200nm以下の幅を有する誘電体層におけるフィーチャのエッチングに適用されることがさらに好ましい。本発明は、150nm以下の幅を有する誘電体層におけるフィーチャのエッチングに適用されることが最も好ましい。
本発明は、任意の誘電体エッチングに適用されることが好ましい。本発明は、誘電体層が酸化シリコンまたは窒化シリコン系である誘電体エッチングに適用されることがさらに好ましい。換言すると、誘電体層は、主に酸化シリコンまたは窒化シリコンで形成され、より少量の他の種類の材料が混合される。
図1は、本発明の一実施形態の概略フローチャートである。誘電体層が、炭素系マスクに対して選択的にエッチングされ、その際、フルオロカーボン系ポリマが、炭素系マスク上に正味で蒸着される(工程104)。正味の蒸着とは、除去されるよりも蒸着されるポリマの方が多く、その結果、成長するフルオロカーボンポリマ層が蒸着されることを意味する。一般に、エッチングガスが供給される。エッチングガスは、プラズマ内に供給され、選択的エッチングを提供する。エッチング処理が停止されている間に、フルオロカーボンポリマは、炭素系マスクから部分的または完全に、選択的に除去される(工程108)。一般に、酸素またはフッ素を含むフラッシングガス(O2またはCF4など)のようなトリミングガスが供給される。次いで、トリミングガスは、炭素系マスクよりも速やかにフルオロカーボンポリマをアッシングまたはトリミングするプラズマに変換される。炭素系マスクに対するフルオロカーボンポリマの選択的除去が停止される。誘電体層のさらなる選択的エッチングが提供され、その第2の選択的エッチングは、炭素マスク上にフルオロカーボン系ポリマの正味の蒸着を提供する(工程112)。以下では、上述の実施形態のより具体的な実装について説明する。
図2は、本発明を実施する際に利用可能なエッチングリアクタを示す説明図である。本発明の1または複数の実施形態において、エッチングリアクタ200は、上部中央電極206と、上部外側電極204と、底部中央電極208と、底部外側電極210とを、チャンバ壁250内に備える。上部絶縁体リング207が、上部中央電極206を上部外側電極204から絶縁している。底部絶縁体リング212が、底部中央電極208を底部外側電極210から絶縁している。また、エッチングリアクタ200内では、基板280が、底部中央電極208の上部に配置される。随意的に、底部中央電極208は、基板280を保持するための適切な基板保持機構(例えば、静電チャック、機械的クランプ、または、同様のもの)を備える。
ガス源224が、エッチングリアクタ200と接続されており、エッチング処理中にエッチングガスをエッチングリアクタ200に供給する。この例において、ガス源224は、エッチングガス源260と、トリミングガス源264と、エッチング中にフルオロカーボンポリマを蒸着させるためのポリマガス源268とを備える。
バイアスRF電源248、第1の励起RF電源252、および、第2の励起RF電源256が、電極204、206、208、および、210に電力を供給するために、制御部235を介してエッチングリアクタ200に電気的に接続される。バイアスRF電源248は、バイアスRF電力を生成し、そのバイアスRF電力をエッチングリアクタ200に供給する。バイアスRF電力は、1キロヘルツ(kHz)から10メガヘルツ(MHz)の間の周波数を有することが好ましい。バイアスRF電力は、1MHzから5MHzの間の周波数を有することがより好ましい。バイアスRF電力は、約2MHzの周波数を有することがさらに好ましい。
第1の励起RF電源252は、ソースRF電力を生成し、そのソースRF電力をエッチングリアクタ200に供給する。このソースRF電力は、バイアスRF電力より大きい周波数を有することが好ましい。このソースRF電力は、10MHzから40MHzの間の周波数を有することがより好ましい。このソースRF電力は、27MHzの周波数を有することが最も好ましい。
第2の励起RF電源256は、第1の励起RF電源252によって生成されたRF電力とは別に、ソースRF電力を生成し、そのソースRF電力をエッチングリアクタ200に供給する。このソースRF電力は、バイアスRF電源および第1のRF励起電源の電力より大きい周波数を有することが好ましい。この第2の励起RF電源は、40MHz以上の周波数を有することがより好ましい。このソースRF電力は、60MHzの周波数を有することが最も好ましい。
異なるRF信号が、様々な組み合わせの上部および底部電極に対して供給されてよい。最も低い周波数のRFは、エッチングされる材料が上に配置された底部電極を介して、すなわち、この例においては底部中央電極208を介して印加されることが好ましい。
制御部235は、ガス源224、バイアスRF電源248、第1の励起RF電源252、および、第2の励起RF電源256に接続される。制御部235は、エッチングリアクタ200に流れ込むエッチングガスの流れ、3つのRF電源248、252、256からのRF電力の生成を制御すると共に、電極204、206、208、および、210、並びに、排出ポンプを制御する。
この例においては、閉じ込めリング202が、プラズマおよびガスの閉じ込めを実現するために設けられており、プラズマおよびガスは、閉じ込めリングの間を通過し排出ポンプによって排出される。
図3Aおよび3Bは、本発明の1または複数の実施形態で用いられる制御部235の実装に適したコンピュータシステムを示す図である。図3Aは、コンピュータシステム300の物理的形態の一例を示している。もちろん、コンピュータシステムは、集積回路、プリント基板、および、小型携帯デバイスから大型スーパコンピュータまで、多くの物理的形態を有してよい。コンピュータシステム300は、モニタ302、ディスプレイ304、筐体306、ディスクドライブ308、キーボード310、および、マウス312を備える。ディスク314は、コンピュータシステム300とデータをやり取りするために用いられるコンピュータ読み取り可能な媒体である。
図3Bは、コンピュータシステム300のブロック図の一例である。システムバス320には、様々なサブシステムが取り付けられている。1または複数のプロセッサ322(中央処理装置すなわちCPUとも呼ぶ)が、メモリ324などの記憶装置に接続されている。メモリ324は、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)を含む。当技術分野で周知のように、ROMは、CPUに対して単方向的にデータや命令を転送するよう機能し、RAMは、通例、双方向的にデータや命令を転送するために用いられる。これらの種類のメモリは両方とも、後に示す任意の適切なコンピュータ読み取り可能媒体を備えてよい。CPU322には、さらに、固定ディスク326が、双方向的に接続されており、さらなるデータ記憶容量を提供している。固定ディスク326は、後に示すコンピュータ読み取り可能媒体のいずれを備えてもよい。固定ディスク326は、プログラムやデータなどを格納するために用いられてよく、通例は、一次記憶装置よりも遅い二次記憶媒体(ハードディスクなど)である。固定ディスク326内に保持された情報は、必要に応じて、メモリ324内に仮想メモリなどの標準的な方法で組み込まれてよいことを理解されたい。リムーバブルディスク314は、後に示すコンピュータ読み取り可能な媒体のいずれの形態を取ってもよい。
CPU322は、さらに、ディスプレイ304、キーボード310、マウス312、および、スピーカ330など、様々な入力/出力装置に接続されている。一般に、入力/出力装置は、ビデオディスプレイ、トラックボール、マウス、キーボード、マイク、タッチセンサ式ディスプレイ、トランスデューサ式カードリーダ、磁気または紙テープリーダ、タブレット、スタイラス、音声または手書き認識装置、バイオメトリクスリーダ、または、他のコンピュータ、のいずれであってもよい。CPU322は、必要に応じて、ネットワークインターフェース340を用いて、他のコンピュータや電気通信ネットワークに接続されてもよい。かかるネットワークインターフェースを用いて、CPUは、上述の方法の工程を実行する際に、ネットワークから情報を受信、または、ネットワークに情報を出力してよい。さらに、本発明の方法の実施形態は、CPU322単体で実行されてもよいし、インターネットなどのネットワークを介して、処理の一部を分担する遠隔CPUと協働で実行されてもよい。
さらに、本発明の実施形態は、コンピュータによる様々な動作を実行するためのコンピュータコードを有するコンピュータ読み取り可能な媒体を備えたコンピュータストレージ製品に関する。媒体およびコンピュータコードは、本発明のために、特別に設計および構成されてもよいし、コンピュータソフトウェア分野における当業者にとって周知および利用可能なものであってもよい。コンピュータ読み取り可能な媒体の例としては、ハードディスク、フレキシブルディスク、磁気テープなどの磁気媒体;CD−ROM、ホログラフィック素子などの光学媒体;フロプティカルディスクなどの光磁気媒体;特定用途向け集積回路(ASIC)、プログラム可能論理回路(PLD)、ROMおよびRAMなど、プログラムコードを格納および実行するよう特別に構成されたハードウェア装置、が挙げられるが、それらに限定されない。コンピュータコードの例としては、コンパイラによって生成されたコードなどのマシンコードや、インタープリタを用いてコンピュータによって実行される高級言語コードを含むファイルが挙げられる。コンピュータ読み取り可能な媒体は、搬送波で具現化されたコンピュータデータ信号によって転送されると共にプロセッサが実行可能な一連の命令を表すコンピュータコードであってもよい。

本発明の一実施形態の具体的な一例においては、基板が準備され、その上に誘電体が形成され、その上に炭素系マスクが形成される。図4Aは、基板404、誘電体層408、および、炭素系マスク412の略断面図である。この例では、図に示すように、誘電体層408は、基板404の上部に位置し、炭素マスク412は、誘電体層408上に位置しているが、これらの層の間に1または複数の層が配置されてもよく、その場合、誘電体層は、基板404の上方に位置するが基板404と直接接触せず、炭素系マスク412は、誘電体層408の上方に位置するが、誘電体層408と直接接触しない。この例において、誘電体層408は、単一の均一な材料の単一層である。
この例において、基板404はシリコンウエハであり、誘電体層は酸化シリコン(SiO)または窒化シリコン(SiN)系材料の単一層である。この例において、炭素系マスクは非晶質炭素であるが、別の例では、炭素系マスクはフォトレジストポリマであってもよい。非晶質炭素は、ポリマに類似しているが、水素が少なく炭素が多いので、ポリマよりもエッチング耐性が高い。別の実施形態では、マスクはフォトレジストであってもよい。
炭素系マスクに対して誘電体層を選択的にエッチングしつつ、十分な選択比を提供するために炭素系マスク上に正味のフルオロカーボンポリマ層を蒸着する(工程104)。かかるSiO2誘電体層のエッチングの一例では、200sccmのAr、20sccmのC48、82sccmのC46、および、64sccmのO2を含むエッチンッグおよびポリマガスが、エッチングガス源260およびポリマガス源268からエッチングチャンバ240の内部に供給される。バイアスRF電源は、2MHzで4,000ワット(W)を供給する。第1の励起RF電源は、27MHzで150Wを供給する。第2の励起RF電源は、60MHzで500Wを供給する。エッチングおよびポリマガスは、プラズマに変換される。25mTorrの圧力が維持される。約1500nmの深さまでエッチングするために、エッチングは、300秒間維持される。次いで、エッチングが停止される。
図4Bは、選択的エッチング後の略断面図である。選択的エッチングは、フィーチャ420が部分的にエッチングされる間に、マスク412上に正味のフルオロカーボンポリマ蒸着物416を提供する。フルオロカーボンポリマ蒸着物は、より多くの蒸着物を受ける片側がより多くの蒸着物を受け続けることでさらに非対称になるように蒸着され、非対称になる傾向にあることが予期せず見いだされている。かかる非対称な蒸着物は、エッチングフィーチャの底部の中央が不等間隔になることから、ねじれの原因になる。理解を促すため、図4Bは、距離x1だけ隔たった2つのフィーチャの底部と、距離x1よりも大きい距離x2だけ隔たった2つのフィーチャの底部とを示している。
フルオロカーボンポリマは、選択的にマスクから除去される(工程108)。かかる選択的除去の一例では、400sccmのArおよび10sccmのO2を含むアッシングまたはトリミングガスが、トリミングガス源264からエッチングチャンバ240の内部へ供給される。バイアスRF電源は、2MHzで300Wを供給する。第1の励起RF電源は、27MHzで100Wを供給する。第2の励起RF電源は、60MHzで200Wを供給する。トリミングガスは、プラズマに変換される。20mTorrの圧力が維持される。この除去は、25秒間維持される。次いで、除去が停止される。O2によってポリマのアッシングが可能になる。Arはいくらかの衝撃を提供しうるが、除去のほとんどはO2によってなされる。
図4Cは、フルオロカーボンポリマ除去後の略断面図であり、マスクが再成形されている。非対称なフルオロカーボンポリマが除去されているため、再成形されたマスクは、より正確にパターニングされている。また、この処理は、マスクフィーチャを元々の幅により近くなるように開口する。
再び、炭素系マスクに対して誘電体層を選択的にエッチングしつつ、無限大の選択比を提供するために炭素系マスク上に正味のフルオロカーボンポリマ層を蒸着する(工程112)。かかるSiO2誘電体層のエッチングの一例では、200sccmのAr、20sccmのC48、82sccmのC46、および、64sccmのO2を含むエッチングおよびポリマガスが、エッチングガス源260およびポリマガス源268からエッチングチャンバ240の内部に供給される。バイアスRF電源は、2MHzで4,000ワット(W)を供給する。第1の励起RF電源は、27MHzで150Wを供給する。第2の励起RF電源は、60MHzで500Wを供給する。エッチングおよびポリマガスは、プラズマに変換される。25mTorrの圧力が維持される。エッチングは、誘電体層が完全にエッチングされるまで維持される。次いで、エッチングが停止される。
図4Dは、選択的エッチング後の略断面図である。選択的エッチングは、フィーチャ420が部分的にエッチングされる間に、マスク412上に正味のフルオロカーボンポリマ蒸着物416を提供する。フルオロカーボンポリマ蒸着物は、より多くの蒸着物を受ける片側がより多くの蒸着物を受け続けるように蒸着され、非対称になる傾向を有する。かかる非対称な蒸着物は、エッチングフィーチャの底部の中央が不等間隔になることから、ねじれの原因になる。理解を促すため、図4Dは、距離x1だけ隔たった2つのフィーチャの底部と、距離x1よりも大きい距離x2だけ隔たった2つのフィーチャの底部とを示している。
マスクからフルオロカーボンポリマを選択的に除去することによって、結果としてマスク再成形がなされ、ねじれが低減される。例えば、マスクの再成形がなければ、x1とx2の間の差は2倍になりうる。
上述の例において、2.1μの深さのエッチングに対しては、30:1のアスペクト比が達成された。3μの深さのエッチングに対しては、40:1のアスペクト比が達成された。
図5は、ねじれの量を測定するのに有効な概略図である。楕円504は、エッチングフィーチャの底部を概略的に示したものである。格子508は、元々のマスクのレイアウトにおけるフィーチャの中心の位置を示しており、各フィーチャの中心は格子点に位置する。図に示すように、エッチングフィーチャの底部の楕円504の中心は、必ずしもすべてが格子点と揃っている訳ではない。この例において、ねじれを測定するには、x方向のねじれが、楕円の中心とそれに対応する格子点との間のx方向における差の二乗平均平方根(rms)の平均値を用いて決定され、y方向のねじれが、楕円の中心とそれに対応する格子点との間のy方向における差のrmsの平均値を用いて決定され、楕円の中心とそれに対応する格子点との間のrms距離が、総ねじれになる。
あるレイアウトは、2.40nmのx方向のねじれをフィーチャにもたらす。エッチングのみを用いた対照例では、6.4nmのx方向のねじれを有することがわかった。マスクからのフルオロカーボンポリマの選択的除去を用いた上述の例では、4.03nmのねじれが測定された。
図に示したように、フィーチャは、x方向においてフィーチャをより近く配置できるように、楕円形になっている。フィーチャがx方向において互いにより近くに位置するため、x方向における距離がより重要であり、x方向の許容誤差がより小さくなる。
パルス化の例
別の例では、炭素系マスクに対して誘電体層を選択的にエッチングしつつ、無限大の選択比を提供するために炭素系マスク上に正味のフルオロカーボンポリマ層を蒸着する(工程104)。かかるSiO2誘電体層のエッチングの一例では、200sccmのAr、20sccmのC48、82sccmのC46、および、64sccmのO2を含むエッチングおよびポリマガスが、エッチングガス源260およびポリマガス源268からエッチングチャンバ240の内部に供給される。バイアスRF電源は、2MHzで4,000ワット(W)を供給する。第1の励起RF電源は、27MHzで150Wを供給する。第2の励起RF電源は、60MHzで500Wを供給する。エッチングおよびポリマガスは、プラズマに変換される。25mTorrの圧力が維持される。このエッチングは、240秒間維持される。次いで、エッチングが停止される。
フルオロカーボンポリマは、選択的にマスクから除去される(工程108)。かかる選択的除去の一例では、400sccmのArおよび10sccmのO2を含むアッシングまたはトリミングガスが、トリミングガス源264からエッチングチャンバ240の内部へ供給される。バイアスRF電源は、2MHzで300Wを供給する。第1の励起RF電源は、27MHzで100Wを供給する。第2の励起RF電源は、60MHzで200Wを供給する。トリミングガスは、プラズマに変換される。20mTorrの圧力が維持される。この除去は、25秒間維持される。次いで、除去が停止される。
誘電体層は、再び、炭素系マスクに対して選択的にエッチングされる(工程112)。この例では、バイアスRF電源はパルス化される。かかるSiO2誘電体層のエッチングの一例では、200sccmのAr、20sccmのC48、82sccmのC46、および、70sccmのO2を含むエッチングおよびポリマガスが、エッチングガス源260およびポリマガス源268からエッチングチャンバ240の内部に供給される。バイアスRF電源は、2MHzで4,000ワット(W)を供給し、バイアス信号は、80μsで50%のデューティサイクルでパルス化される。第1の励起RF電源は、27MHzで150Wを供給する。第2の励起RF電源は、60MHzで500Wを供給する。エッチングおよびポリマガスは、プラズマに変換される。25mTorrの圧力が維持される。パルスエッチングは、誘電体層が完全にエッチングされるまで維持され、この例においては、600秒間維持される。次いで、パルスエッチングは停止される。パルス化された処理では、より多くの重合が起きるために、重合を低減するためにより多くの酸素が必要になることから、この工程では、同様の非パルス化工程よりも多くの酸素を供給する。
図6は、長径yおよび短径xを有する楕円604の概略図である。楕円率は、短径に対する長径の比y/xと定義される。レイアウトフィーチャの楕円率は、1.69である。非パルスのバイアスRFを用いた対照試験では、楕円率は3.11であった。標準的なエッチング条件下では、フィーチャの断面は、長径が長くなり短径が短くなる傾向があり、楕円率が大きくなることがわかっている。パルス化は、エッチング処理を減速することによってエッチング時間を長くするが、楕円率は低減されることが、予期せずわかった。上述の例において、楕円率は1.94であることがわかった。
パルスエッチングを用いた別の実施形態において、エッチングされたフィーチャのCDが大きい場合などは、ねじれを問題にしなくてもよい。かかる場合には、マスクに対するフルオロカーボンポリマの選択的除去の工程なしに、エッチングの際にパルスバイアスを用いてもよい。かかるエッチングでは、エッチング処理全体にわたって、パルスエッチングを用いてもよい。
マスクに対する誘電体層の選択的エッチングは、3:1よりも大きい選択比を有することが好ましい。選択比は、5:1より大きいことが好ましい。選択比は、無限大の選択比であることが最も好ましい。
炭素系マスクに対するフルオロカーボンポリマの選択的除去は、少なくとも2:1であることが好ましい。選択比は、少なくとも1.3:1であることがより好ましい。選択比は、少なくとも1.1:1であることが最も好ましい。
誘電体層は、窒化シリコン系、酸化シリコン系、様々な有機または無機の低誘電体など、多くの様々な誘電材料の1つから作られてよい。
上述の例では、2回の選択的エッチングの合間に、マスクからのフルオロカーボンポリマの選択的除去を行ったが、他の例では、より多くの選択的エッチングの合間に、フルオロカーボンポリマの選択的除去を行うものとしてもよい。例えば、フルオロカーボンポリマの選択的除去を合計3回必要とする5回の選択的エッチングが用いられてもよい。かかる処理では、処理時間が長くなりうるが、ねじれがさらに低減される。フルオロカーボンポリマの選択的除去の回数が多すぎると、炭素系マスクを除去しすぎる場合があり、望ましくない。
誘電体の選択的エッチングは、フルオロカーボンまたはハイドロフルオロカーボンガスのいずれかを含むエッチングおよびポリマガスの供給を必要とする。エッチングおよびポリマガスは、フルオロカーボンガスを含むことがより好ましい。エッチングおよびポリマガスは、さらに、酸素含有ガス(CO2またはO2であってよい)を含むことがより好ましく、その酸素含有ガスはO2であることが好ましい。エッチングおよびポリマガスは、Arなど、衝撃ガスをさらに含むことがより好ましい。
炭素系マスクに対するフルオロカーボンポリマの除去の選択比を大きくするために、炭素系マスクは、非晶質炭素マスクであることがより好ましい。
以上、いくつかの好ましい実施形態を参照しつつ本発明について説明したが、本発明の範囲内で、様々な代替物、置換物、および等価物が存在する。また、本発明の方法および装置を実施する他の態様が数多く存在することにも注意されたい。したがって、添付の特許請求の範囲は、本発明の真の趣旨および範囲内に含まれる代替物、置換物、および等価物の全てを網羅するものとして解釈される。

Claims (19)

  1. エッチングチャンバ内で炭素系マスクを介して超高アスペクト比フィーチャの誘電体層をエッチングするための方法であって、
    前記炭素系マスクに対して前記誘電体層を選択的にエッチングし、前記炭素系マスク上にフルオロカーボン系ポリマの正味の蒸着を提供することと、
    前記選択的エッチングを停止する工程と、
    前記炭素系マスクに対して前記誘電体層をさらに選択的にエッチングすることとを備え、
    前記さらに選択的にエッチングは、
    フルオロカーボン含有分子および酸素含有分子を含むエッチングガスの流れを前記エッチングチャンバへ供給し、
    パルスバイアスRF信号を供給し、
    励起RF信号を供給することと、
    を備える、方法。
  2. 請求項1に記載の方法において、前記炭素系マスクに対して前記誘電体層の選択的なエッチングは、
    エッチングガスおよびフルオロカーボンポリマガスの流れを前記エッチングチャンバへ供給し、
    連続波バイアスRF信号を供給し、
    励起RF信号を供給すること、
    を備える、方法。
  3. 請求項1または2に記載の方法において、前記炭素系マスクは、フォトレジストおよび非晶質炭素の内の一方である、方法。
  4. 請求項1または2に記載の方法において、前記炭素系マスクは、非晶質炭素である、方法。
  5. 請求項1ないし4のいずれかに記載の方法において、前記超高アスペクト比フィーチャは、少なくとも30:1のアスペクト比を有する、方法。
  6. 請求項1ないし5のいずれかに記載の方法において、前記選択的エッチングは、3:1より大きい選択比を有する、方法。
  7. 請求項1ないし6のいずれかに記載の方法において、前記さらに選択的なエッチングは、3:1より大きい選択比を有する、方法。
  8. 請求項1ないし7のいずれかに記載の方法において、前記選択的なエッチングは、5:1より大きい選択比を有する、方法。
  9. 請求項1ないし8のいずれかに記載の方法において、前記さらに選択的なエッチングは、5:1より大きい選択比を有する、方法。
  10. 請求項1ないし9のいずれかに記載の方法において、前記選択的なエッチングは無限大の選択比を有する、方法。
  11. 請求項1ないし10のいずれかに記載の方法において、前記さらに選択的なエッチングは、無限大の選択比を有する、方法。
  12. 請求項1ないし11に記載の方法において、前記誘電体層は、酸化シリコン系または窒化シリコン系の層である、方法。
  13. 請求項1ないし12のいずれかに記載の方法において、前記誘電体層は、単一の均一層である、方法。
  14. エッチングチャンバ内で炭素系マスクを介して超高アスペクト比フィーチャの誘電体を選択的エッチングする方法であって、
    フルオロカーボン含有分子および酸素含有分子を含むエッチングガスの流れを前記エッチングチャンバへ供給し、
    パルスバイアスRF信号を供給し、
    励起RF信号を供給して、前記エッチングガスをプラズマに変換すること、
    を備える、方法。
  15. 請求項14に記載の方法において、前記炭素系マスクは、非晶質炭素である、方法。
  16. 請求項14または15に記載の方法において、前記超高アスペクト比フィーチャは、少なくとも30:1のアスペクト比を有する、方法。
  17. 請求項14ないし16のいずれかに記載の方法において、前記選択的エッチングは、無限大の選択比を有する、方法。
  18. 請求項14ないし17のいずれかに記載の方法において、前記誘電体層は、単一の均一な酸化シリコン系または窒化シリコン系の層である、方法。
  19. 炭素系マスクの下方のエッチング層にUHARフィーチャをエッチングするための装置であって、
    プラズマ処理チャンバであって、
    プラズマ処理チャンバ容器を形成するチャンバ壁と、
    前記プラズマ処理チャンバ容器内で基板を支持するための基板支持部と、
    前記プラズマ処理チャンバ容器内の圧力を調整するための圧力調整部と、
    前記プラズマ処理チャンバ容器に電力を供給してプラズマを維持するための少なくとも1つの電極と、
    1kHzから10MHzの間のRF周波数を有する信号を供給するRFバイアス電源と、
    前記RFバイアス電源に接続され、前記RFバイアス電源をパルス化することが可能なパルス生成部と、
    1kHzから5MHzの間のRF周波数を有する信号を供給する第1のRF励起電源と、
    10MHzから40MHzの間のRF周波数を有する信号を供給する第2のRF励起電源と、
    前記プラズマ処理チャンバ容器内にガスを供給するためのガス流入口と、
    前記プラズマ処理チャンバ容器からガスを排出するためのガス流出口と、
    を備える、プラズマ処理チャンバと、
    前記ガス流入口と流体連通したガス源であって、
    酸素源と、
    フルオロカーボンポリマガス源と、
    を備える、ガス源と、
    前記ガス源、前記RFバイアス電源、前記第1のRF励起電源、前記第2のRF励起電源、および、前記少なくとも1つの電極に対して、制御可能に接続された制御部であって、
    少なくとも1つのプロセッサと、
    コンピュータ読み取り可能な媒体と、を備える、制御部と、
    を備え、
    前記コンピュータ読み取り可能な媒体は、前記炭素系マスクに対する前記誘電体層の選択的なエッチングを提供するためのコンピュータ読み取り可能なコードであって、前記第1の選択エッチングはフルオロカーボン系ポリマの正味の蒸着を提供する、コンピュータ読み取り可能なコードを備え、
    前記選択的なエッチングを提供するためのコンピュータ読み取り可能なコードは、
    前記酸素源からの酸素と前記フルオロカーボンポリマガス源からのフルオロカーボンポリマガスとを含むエッチングガスの流れを、前記処理チャンバ容器に供給するためのコンピュータ読み取り可能なコードと、
    前記RFバイアス電源に電圧を印加するためのコンピュータ読み取り可能なコードと、
    前記RFバイアス電源をパルス化するためのコンピュータ読み取り可能なコードと、
    前記第1のRF励起電源および前記第2のRF励起電源に電圧を印加して、エネルギを供給し、前記エッチングガスおよびポリマ形成ガスからプラズマを形成するためのコンピュータ読み取り可能なコードと、
    を備える、装置。
JP2009548493A 2007-02-05 2008-02-04 超高アスペクト比の誘電体パルスエッチング Active JP5503976B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/671,342 US7547636B2 (en) 2007-02-05 2007-02-05 Pulsed ultra-high aspect ratio dielectric etch
US11/671,342 2007-02-05
PCT/US2008/052950 WO2008097925A1 (en) 2007-02-05 2008-02-04 Pulsed ultra-high aspect ratio dielectric etch

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013145614A Division JP2013239729A (ja) 2007-02-05 2013-07-11 超高アスペクト比の誘電体パルスエッチング

Publications (3)

Publication Number Publication Date
JP2010518605A true JP2010518605A (ja) 2010-05-27
JP2010518605A5 JP2010518605A5 (ja) 2011-03-24
JP5503976B2 JP5503976B2 (ja) 2014-05-28

Family

ID=39676541

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009548493A Active JP5503976B2 (ja) 2007-02-05 2008-02-04 超高アスペクト比の誘電体パルスエッチング
JP2013145614A Withdrawn JP2013239729A (ja) 2007-02-05 2013-07-11 超高アスペクト比の誘電体パルスエッチング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013145614A Withdrawn JP2013239729A (ja) 2007-02-05 2013-07-11 超高アスペクト比の誘電体パルスエッチング

Country Status (6)

Country Link
US (1) US7547636B2 (ja)
JP (2) JP5503976B2 (ja)
KR (1) KR101455883B1 (ja)
CN (1) CN101606232B (ja)
TW (1) TWI440083B (ja)
WO (1) WO2008097925A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012079792A (ja) * 2010-09-30 2012-04-19 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2013012624A (ja) * 2011-06-30 2013-01-17 Hitachi High-Technologies Corp プラズマ処理方法
JP2015119099A (ja) * 2013-12-19 2015-06-25 東京エレクトロン株式会社 半導体装置の製造方法
JP2019009189A (ja) * 2017-06-21 2019-01-17 東京エレクトロン株式会社 エッチング方法
KR20190043556A (ko) * 2016-09-14 2019-04-26 맷슨 테크놀로지, 인크. 높은 종횡비 구조의 스트립 프로세스
JP2021512502A (ja) * 2018-02-05 2021-05-13 ラム リサーチ コーポレーションLam Research Corporation アモルファスカーボン層の開孔プロセス

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070218691A1 (en) * 2006-03-17 2007-09-20 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and computer-readable storage medium
CN101952945B (zh) * 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
US9059116B2 (en) * 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
WO2010033924A2 (en) * 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8383001B2 (en) * 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
US8394723B2 (en) * 2010-01-07 2013-03-12 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
WO2012122064A1 (en) * 2011-03-04 2012-09-13 Tokyo Electron Limited Method of etching silicon nitride films
US8420545B2 (en) * 2011-05-23 2013-04-16 Nanya Technology Corporation Plasma etching method and plasma etching apparatus for preparing high-aspect-ratio structures
US20130119018A1 (en) * 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US9224618B2 (en) * 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US20140051256A1 (en) * 2012-08-15 2014-02-20 Lam Research Corporation Etch with mixed mode pulsing
JP6141855B2 (ja) 2012-09-18 2017-06-07 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9159561B2 (en) * 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
JP6315809B2 (ja) * 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10134600B2 (en) * 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10504744B1 (en) 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch
WO2021067092A1 (en) * 2019-10-01 2021-04-08 Lam Research Corporation Mask encapsulation to prevent degradation during fabrication of high aspect ratio features
CN113035706A (zh) * 2019-12-25 2021-06-25 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀方法和刻蚀装置
JP7462444B2 (ja) * 2020-03-19 2024-04-05 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US20210391181A1 (en) * 2020-06-15 2021-12-16 Tokyo Electron Limited Forming a semiconductor device using a protective layer
KR20230165819A (ko) * 2021-04-14 2023-12-05 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0689883A (ja) * 1992-09-08 1994-03-29 Sony Corp 接続孔の形成方法
JPH11340211A (ja) * 1998-03-27 1999-12-10 Nec Corp 基板処理方法および基板処理装置
JP2000133638A (ja) * 1998-10-22 2000-05-12 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP2001127045A (ja) * 1999-10-29 2001-05-11 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2001332510A (ja) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2006523030A (ja) * 2003-04-09 2006-10-05 ラム リサーチ コーポレーション ガス化学反応の周期的変調を用いたプラズマエッチング方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4687543A (en) * 1986-02-21 1987-08-18 Tegal Corporation Selective plasma etching during formation of integrated circuitry
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US20010051438A1 (en) * 1997-06-25 2001-12-13 Samsung Electronics Process and apparatus for dry-etching a semiconductor layer
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6147005A (en) * 1999-07-23 2000-11-14 Worldwide Semiconductor Manufacturing Corp. Method of forming dual damascene structures
US6368974B1 (en) * 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
US6831018B2 (en) * 2001-08-21 2004-12-14 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US20030153195A1 (en) * 2002-02-13 2003-08-14 Applied Materials, Inc. Method and apparatus for providing modulated bias power to a plasma etch reactor
US6759340B2 (en) * 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
CN101124661A (zh) * 2004-05-11 2008-02-13 应用材料公司 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻
US7344975B2 (en) * 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US7531102B2 (en) * 2006-03-31 2009-05-12 Intel Corporation Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0689883A (ja) * 1992-09-08 1994-03-29 Sony Corp 接続孔の形成方法
JPH11340211A (ja) * 1998-03-27 1999-12-10 Nec Corp 基板処理方法および基板処理装置
JP2000133638A (ja) * 1998-10-22 2000-05-12 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP2001127045A (ja) * 1999-10-29 2001-05-11 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2001332510A (ja) * 2000-05-25 2001-11-30 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2006523030A (ja) * 2003-04-09 2006-10-05 ラム リサーチ コーポレーション ガス化学反応の周期的変調を用いたプラズマエッチング方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012079792A (ja) * 2010-09-30 2012-04-19 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2013012624A (ja) * 2011-06-30 2013-01-17 Hitachi High-Technologies Corp プラズマ処理方法
JP2015119099A (ja) * 2013-12-19 2015-06-25 東京エレクトロン株式会社 半導体装置の製造方法
KR20190043556A (ko) * 2016-09-14 2019-04-26 맷슨 테크놀로지, 인크. 높은 종횡비 구조의 스트립 프로세스
JP2019530230A (ja) * 2016-09-14 2019-10-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 高アスペクト比構造のためのストリッププロセス
KR102204116B1 (ko) 2016-09-14 2021-01-19 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 높은 종횡비 구조의 스트립 프로세스
TWI760338B (zh) * 2016-09-14 2022-04-11 美商得昇科技股份有限公司 用於高縱橫比結構之剝除方法
JP2019009189A (ja) * 2017-06-21 2019-01-17 東京エレクトロン株式会社 エッチング方法
JP2021512502A (ja) * 2018-02-05 2021-05-13 ラム リサーチ コーポレーションLam Research Corporation アモルファスカーボン層の開孔プロセス
JP7399863B2 (ja) 2018-02-05 2023-12-18 ラム リサーチ コーポレーション アモルファスカーボン層の開孔プロセス

Also Published As

Publication number Publication date
CN101606232A (zh) 2009-12-16
TW200849377A (en) 2008-12-16
WO2008097925A1 (en) 2008-08-14
TWI440083B (zh) 2014-06-01
JP5503976B2 (ja) 2014-05-28
JP2013239729A (ja) 2013-11-28
KR20090125076A (ko) 2009-12-03
CN101606232B (zh) 2013-01-23
US20080188082A1 (en) 2008-08-07
US7547636B2 (en) 2009-06-16
KR101455883B1 (ko) 2014-11-03

Similar Documents

Publication Publication Date Title
JP5503976B2 (ja) 超高アスペクト比の誘電体パルスエッチング
KR101433990B1 (ko) 초고 애스펙트비 유전체 식각
JP5165560B2 (ja) エッチング層に形状を形成するための方法
US7772122B2 (en) Sidewall forming processes
JP5632280B2 (ja) 異なるアスペクト比の構成を誘電層内にエッチングするための方法、及びその方法によって作成される半導体デバイス、並びにそのための装置
US8864931B2 (en) Mask trimming
JP4865564B2 (ja) 誘電体レイヤにフィーチャをエッチングするための方法及び装置
TWI467651B (zh) 活性硬遮罩電漿蝕刻時之現場光阻剝除
US20110117749A1 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
TWI528446B (zh) 利用惰性氣體電漿改善線寬粗度
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
JP2010109373A (ja) 二重層マスク、三重層マスクのcd制御
KR20100106347A (ko) 산화물 스페이서를 이용한 피치 감소
JP2013016844A (ja) 均一性を制御したエッチング
JP2007503728A (ja) 改良されたバイレイヤフォトレジストパターンを提供する方法
JP2008507137A (ja) 低誘電体のエッチング

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110204

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110204

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120313

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120321

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120620

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121128

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130712

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140131

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140317

R150 Certificate of patent or registration of utility model

Ref document number: 5503976

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250