CN101124661A - 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻 - Google Patents
碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻 Download PDFInfo
- Publication number
- CN101124661A CN101124661A CNA200580015078XA CN200580015078A CN101124661A CN 101124661 A CN101124661 A CN 101124661A CN A200580015078X A CNA200580015078X A CN A200580015078XA CN 200580015078 A CN200580015078 A CN 200580015078A CN 101124661 A CN101124661 A CN 101124661A
- Authority
- CN
- China
- Prior art keywords
- gas
- etching
- plasma
- hydrogen
- admixture
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 title claims abstract description 88
- 239000000654 additive Substances 0.000 title description 9
- 230000000996 additive effect Effects 0.000 title description 5
- 238000005530 etching Methods 0.000 claims abstract description 276
- 239000007789 gas Substances 0.000 claims abstract description 273
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 170
- 239000001257 hydrogen Substances 0.000 claims abstract description 169
- 238000000034 method Methods 0.000 claims abstract description 131
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 116
- 239000000463 material Substances 0.000 claims abstract description 89
- 150000002431 hydrogen Chemical class 0.000 claims abstract description 59
- 239000003989 dielectric material Substances 0.000 claims abstract description 25
- 239000011261 inert gas Substances 0.000 claims abstract description 16
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims abstract description 14
- 229910002091 carbon monoxide Inorganic materials 0.000 claims abstract description 14
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims abstract description 13
- 239000004215 Carbon black (E152) Substances 0.000 claims abstract description 5
- 229930195733 hydrocarbon Natural products 0.000 claims abstract description 5
- 150000002430 hydrocarbons Chemical class 0.000 claims abstract description 5
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims abstract description 4
- 229910000077 silane Inorganic materials 0.000 claims abstract description 4
- 229920002120 photoresistant polymer Polymers 0.000 claims description 67
- 210000002381 plasma Anatomy 0.000 claims description 60
- 238000001020 plasma etching Methods 0.000 claims description 29
- 239000000126 substance Substances 0.000 claims description 29
- 230000015572 biosynthetic process Effects 0.000 claims description 25
- 229910052799 carbon Inorganic materials 0.000 claims description 19
- 239000000758 substrate Substances 0.000 claims description 16
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 15
- 230000008021 deposition Effects 0.000 claims description 15
- 239000011248 coating agent Substances 0.000 claims description 10
- 238000000576 coating method Methods 0.000 claims description 10
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 8
- 239000004065 semiconductor Substances 0.000 claims description 8
- 238000000059 patterning Methods 0.000 claims description 7
- 229910052757 nitrogen Inorganic materials 0.000 claims description 5
- PRPAGESBURMWTI-UHFFFAOYSA-N [C].[F] Chemical class [C].[F] PRPAGESBURMWTI-UHFFFAOYSA-N 0.000 claims 4
- 239000003795 chemical substances by application Substances 0.000 claims 1
- 230000003628 erosive effect Effects 0.000 claims 1
- 239000000203 mixture Substances 0.000 abstract description 21
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 abstract description 3
- 239000001301 oxygen Substances 0.000 abstract description 3
- 229910052760 oxygen Inorganic materials 0.000 abstract description 3
- 229910001873 dinitrogen Inorganic materials 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 270
- 230000004888 barrier function Effects 0.000 description 66
- 230000008569 process Effects 0.000 description 19
- 229910052734 helium Inorganic materials 0.000 description 17
- 239000010949 copper Substances 0.000 description 15
- 238000000151 deposition Methods 0.000 description 15
- 239000001307 helium Substances 0.000 description 15
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 15
- 230000008859 change Effects 0.000 description 14
- 230000007704 transition Effects 0.000 description 14
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 13
- 229910052802 copper Inorganic materials 0.000 description 13
- YUCFVHQCAFKDQG-UHFFFAOYSA-N fluoromethane Chemical compound F[CH] YUCFVHQCAFKDQG-UHFFFAOYSA-N 0.000 description 9
- 238000011068 loading method Methods 0.000 description 8
- 239000011229 interlayer Substances 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 230000000694 effects Effects 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 229920000642 polymer Polymers 0.000 description 6
- 229910004298 SiO 2 Inorganic materials 0.000 description 5
- 239000004411 aluminium Substances 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 5
- 238000004528 spin coating Methods 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- 239000012528 membrane Substances 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 230000011664 signaling Effects 0.000 description 4
- 230000003667 anti-reflective effect Effects 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 229910052681 coesite Inorganic materials 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 229910052906 cristobalite Inorganic materials 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 238000011049 filling Methods 0.000 description 3
- 239000010408 film Substances 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 239000005360 phosphosilicate glass Substances 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 229910052682 stishovite Inorganic materials 0.000 description 3
- 229910052905 tridymite Inorganic materials 0.000 description 3
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- 101001019104 Homo sapiens Mediator of RNA polymerase II transcription subunit 14 Proteins 0.000 description 2
- 102100034820 Mediator of RNA polymerase II transcription subunit 14 Human genes 0.000 description 2
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 2
- 238000010790 dilution Methods 0.000 description 2
- 239000012895 dilution Substances 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- 238000001259 photo etching Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- 229910052724 xenon Inorganic materials 0.000 description 2
- 235000014653 Carica parviflora Nutrition 0.000 description 1
- 241000243321 Cnidaria Species 0.000 description 1
- 239000004341 Octafluorocyclobutane Substances 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000006356 dehydrogenation reaction Methods 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 239000012776 electronic material Substances 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 239000003595 mist Substances 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 231100000572 poisoning Toxicity 0.000 description 1
- 230000000607 poisoning effect Effects 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000008054 signal transmission Effects 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Inorganic materials [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- -1 source power level Substances 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000007704 wet chemistry method Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0276—Photolithographic processes using an anti-reflective coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
一些实施例包括蚀刻方法(200和1600),其包括提供蚀刻材料(210)、施加包含氢的气体混合物(230)、形成等离子体(240)和蚀刻该蚀刻材料(250)。蚀刻材料可以包括低k电介质材料。气体混合物可以包括氢气、无氢的碳氟化合物和氮气,还包括氢碳氟化合物气体、惰性气体和/或一氧化碳气体中的一种或多种。氢气可以是双原子氢、烃、硅烷和/或无氟的氢气,包括H2、CH4、C2H4、NH3和/或H2O气。无氢的碳氟化合物可以是CxHy气体(其中x≥1,y≥1),氢碳氟化合物气体可以是CxHyFz气体(其中x≥1,y≥1,z≥1)。气体混合物可以无氧。实施例可以包括减小的压力、减小的氢流速以及一种或多种等离子体频率。
Description
本申请要求2004年5月11日提交的美国临时申请No.60/570,524和Gu、Delgadino、Chen和Ye的题为“CARBON-DOPED-SI OXIDE ETCHUSING H2 ADDITIVE IN FLUOROCARBON ETCH”的美国非临时申请的优先权。
技术领域
本发明涉及蚀刻掺碳的硅氧化物的方法,更具体而言,涉及在碳氟化合物中使用H2添加剂对掺碳的硅氧化物进行蚀刻的方法。
背景技术
在半导体或薄膜器件的制造中一个常用的步骤是蚀刻。蚀刻可以是湿法蚀刻或者干法蚀刻,湿法蚀刻通常使用液态酸,干法蚀刻是一种更常用的方法,其包括施加等离子体来蚀刻器件。
在干法蚀刻中,非常需要使用蚀刻形成特征,例如过孔和沟槽,它们被限定为具有尽可能竖直的侧壁和尽可能平的底部。竖直的侧壁非常有益,因为它们允许建立更深(例如具有更高的纵横比)和更均匀的结构。平的底部允许在器件部件之间形成更好的连接。良好界定的结构减小了产生缺陷(例如短路或不完全的连接)的可能,并且由此,可以增加整体的生产收益。此外,可以增加器件和部件的密度,因为可以减小特征或元件之间需要的间隔。
此外还非常需要减少完成蚀刻步骤所需的时间,因为这可以增加生产率。试图减少蚀刻时间的一种方法已经增加了蚀刻速率。但是,随着蚀刻速率上升,蚀刻质量趋于下降,这以较低的器件生产收益反过来抵消了生产的增加。质量问题包括减小的选择性、条痕、微负载、锥形轮廓、不充分的蚀刻深度以及提前蚀刻终结。
因此,需要一种蚀刻的方法,其提供增加的蚀刻质量以及更高的蚀刻速率,同时保持或者提高形成的蚀刻质量。
发明内容
在一些实施例中,本发明是等离子体蚀刻方法,该方法包括提供蚀刻材料、施加包含氢的气体混合物、形成等离子体并蚀刻所述蚀刻材料。
蚀刻材料可以包括低k电介质材料、ARC层和/或阻挡层,根据具体的实施例,这些层可以在一个或多个步骤中蚀刻。实施例中低k电介质材料的介电常数低于4.0。在至少一个实施例中,电介质材料是掺杂碳的硅氧化物。
在一些实施例中,气体混合物包括氢气、无氢的碳氟化合物以及氮气。实施例具有还包括氢氟碳化合物气体、惰性气体和/或一氧化碳气体中的一种或多种的气体混合物。氢气可以包括双原子氢、烃、硅烷和/或无氟的氢气,包括H2、CH4、C2H4、NH3、和/或H2O气。在一些实施例中,无氢的碳氟化合物气体可以包括CxFy气体(其中x≥1且y≥1),氮气可以是N2气体,氢氟碳化合物气体可以包括CxHyFz气体(其中x≥1,y≥1且z≥1),惰性气体可以包括He、Ne、Kr、Xe和/或Ar。在至少一个实施例中,气体混合物中没有氧。
在一些实施例中,在大约5mTorr至大约400mTorr的压力下施加气体混合物,而在另一些实施例中,在大约5mTorr至大约30mTorr的压力下施加气体混合物。根据实施例,可以以一种或多种频率形成等离子体,包括2MHz、13.56MHz和162MHz。在一些实施例中,可以用大约0瓦至大约2000瓦的源功率和大约300瓦至大约3000瓦的偏压功率形成等离子体。
实施例具有大约10sccm至大约250sccm的氢气流速(其中一些实施例中流速减小至大约10sccm和大约75sccm之间)、大约20sccm至大约200sccm的无氢的碳氟化合物气体流速、大约20sccm至大约200sccm的氢氟碳化合物气体流速以及大约10sccm至大约200sccm的无氢的氟碳化合物和氢氟碳化合物气体流速。
一些实施例具有气体混合物,所述气体混合物包括含无氢的碳氟化合物的蚀刻性气体、含氢的非蚀刻性气体、含氮的气体和惰性气体。
附图说明
图1A-1F是根据本发明至少一个实施例的蚀刻处理的侧视图。
图2A-2J是根据本发明实施例的蚀刻方法的流程图。
图3A-3C是根据本发明至少一个实施例的蚀刻处理的侧视图。
图4A-4E是根据本发明至少一个实施例的蚀刻处理的侧视图。
图5是根据本发明至少一个实施例的结构的侧视图。
图6是根据本发明至少一个实施例的结构的侧视图。
图7是根据本发明至少一个实施例的结构的侧视图。
图8是根据本发明至少一个实施例的结构的侧视图。
图9是根据本发明至少一个实施例的结构的侧视图。
图10是根据本发明至少一个实施例的结构的侧视图。
图11是根据本发明至少一个实施例的结构的侧视图。
图12是根据本发明至少一个实施例的结构的侧视图。
图13是根据本发明至少一个实施例的结构的侧视图。
图14是根据本发明至少一个实施例的结构的侧视图。
图15是根据本发明至少一个实施例的结构的侧视图。
图16是根据本发明实施例的蚀刻方法的流程图。
图17是根据本发明至少一个实施例的室的侧视图。
具体实施方式
本申请要求2004年5月11日提交的美国临时申请No.60/570,524的优先权。美国临时申请No.60/570,524是Gu等于2004年5月11日提交的、题为“CARBON-DOPED-SI OXIDE ETCH USING H2 ADDITIVE INFLUOROCARBON ETCH CHEMISTRY”,其通过引用将其整体包含在这里。本申请还要求Gu等的题为“CARBON-DOPED-SI OXIDE ETCHUSING H2 ADDITIVE IN FLUOROCARBON ETCH”的美国非临时申请的优先权,通过引用将其整体包含在这里。
在至少一个实施例中,本发明包括在结构的一个或多个材料层中蚀刻特征的方法,同时实现一些需要的蚀刻性质和结果。在一些实施例中,申请人的发明在蚀刻中使用氢气。使用氢的一些方面可以包括提供一些需要的蚀刻轮廓、更高的选择性和/或增大的蚀刻速率,同时减小或消除各种负面的蚀刻影响。
在本发明的实施例中,氢的使用提供了改进或调整蚀刻轮廓和特征形状的能力。例如,在蚀刻中氢气可以用在气体混合物中以减少或消除轮廓的锥形,同时保持对蚀刻结构中的底部阻挡层的一定选择性,使得可以获得更平的底表面。氢还允许更深地蚀刻,同时保持更多或者至少等量的光刻胶或PR材料。此外,通过添加氢来减少或者消除诸如微沟槽、微负载、条痕和/或蚀刻停止之类的问题的量和严重性,可以改进得到的蚀刻。
在本发明的实施例中使用氢可以减少或者在一些情况下消除微沟槽。微沟槽的负面影响可能包括空穴的产生、增大电阻、增大电容和泄漏。在一些蚀刻中,可能在微沟槽的区域处产生空穴,因为材料沉积在围绕微沟槽的特征中。这样的空穴将引起沉积的材料相对于具有完全填充特征的有效面积具有减小的横截面积。由于沉积到蚀刻的特征中的导电材料(例如铜),横截面积的减小将引起电阻的增大。增大电阻可能引起器件性能的下降。
通过引起导电材料彼此更靠近地定位,微沟槽还可能引起层间电容的增大。通过微沟槽(该微沟槽通过蚀刻得更深引起特征补偿空穴,以保持需要的横截面积)或者使用材料填充微沟槽,这都可能发生,导电的沟槽材料更靠近其它导电元件。增大的电容通过减小导电元件的信号传输速度可能降低性能。
由于微沟槽,在微沟槽的尖锐拐角处可能发生泄漏,泄漏可能引起器件性能的降低和潜在的短路。
根据实施例,使用氢还允许减少或消除微负载。氢的这些影响可以包括与轮廓微负载和蚀刻速率微负载相关的问题。结果,在蚀刻中使用氢可以进一步辅助实现需要的蚀刻轮廓。
通过添加氢至蚀刻气体混合物来减少条痕不仅提供了改进的蚀刻质量,而且有助于保护光刻胶免于被损坏。由于以较低的PR选择性进行蚀刻导致PR层变得相对薄,因此易于形成条痕和针孔。通过提供穿过PR层的开口,条痕和针孔可能引起对下面结构的不需要的蚀刻。在Cu阻挡层沉积中,条痕可能产生增加扩散到电介质中的铜、降低击穿电压和/或增大电容的问题。在金属沉积中,条痕可以增加出现的空穴、增大阻抗并缩短器件的寿命。条痕可能增大互连之间的泄漏电流,特别是对于未对准的结构。针孔可能增大电容、泄漏并增强DUV抗蚀剂的污染(poisoning)。但是,对于在蚀刻中使用氢的实施例,可以保持PR层的足够厚度,使得PR层将不会变成足以形成条痕和针孔那样薄。在至少一个实施例中,在掩膜上平缓沉积氢碳氟化合物可以极大地减少条痕形成。对光刻胶的损坏的潜在减少对使用较新的光刻胶层(其可以相对弱且薄)具有甚至更大的意义。
在实施例中添加氢还允许增大将要被蚀刻的材料对将要被保持的材料的选择性。更具体而言,在实施例中,与没有氢添加剂的蚀刻相比,相对于光刻胶的蚀刻速率,氢的使用提供了电介质(或类似被蚀刻材料)的更大的蚀刻速率。这允许对于给定的电介质蚀刻,更多的光刻胶材料被保持、且/或更薄的光刻胶被使用。对于光刻胶,允许使用更薄的材料是非常有意义的,因为更新的光刻胶(例如193nm光刻胶)趋于更薄,以提供更小的特征尺寸。
在本发明的一些实施例中,通过在蚀刻中在PR上沉积聚合物材料,氢气的使用允许增大对PR层的选择性。氢气的使用可以影响聚合物在结构上的沉积。例如根据实施例,通过使用氢,聚合物可以更加均匀地沉积在整个蚀刻的结构上,或者与没有PR的区域相比,聚合物可以在PR上沉积更大的量,或者与其它非PR材料相比,沉积的聚合物与PR层具有更强的结合。PR上的沉积在一些实施例中实际上增加了PR层的有效厚度,使得获得了有效的无限PR选择性。
通过使用氢来控制PR选择性,本发明的实施例为蚀刻的特征提供了更加精确限定和均匀的开口,避免了较高PR选择性形成形状不均匀的开口以及由于较低PR选择性的条痕而损坏。
氢气可以是非蚀刻剂气体。对于本发明的一些实施例,使用的氢是H2、双原子氢、没有与除氢以外的元素化学结合的氢、或者纯氢。
在本发明的实施例中,通过在蚀刻中使用各种添加气体还可以增加选择性。例如,使用非常聚合的气体允许对除电介质层以外的层的选择性增大。贫气(lean gas)(即那些不会产生过量聚合物的气体)可以与其它气体结合以提供更高的选择性。例如,在存在H2的情况下,较低含碳量的气体(例如四氟甲烷(CF4))允许在电介质材料层的蚀刻中增大PR选择性。对PR层的低选择性(例如低于大约1的选择性)可能是PR中的条痕的原因之一。另一个需要高选择性的层是阻挡层(如果有阻挡层的话),阻挡层通常设置在将要蚀刻的电介质层之下。H2的存在易于减小对典型阻挡层材料(例如SiC、Si3N4和SiCN)的选择性。
根据实施例,除了氢,蚀刻气体混合物可以具有其它气体,包括碳氟化合物、无氢的碳氟化合物和氢碳氟化合物气体。根据应用,这些气体可以影响蚀刻的特征的质量。无氢的碳氟化合物和氢碳氟化合物可以是蚀刻剂气体。例如,二氟甲烷(CH2F2)气体可以用在实施例中,以增大蚀刻速率并产生某种程度的锥形轮廓。氮、惰性气体和一氧化碳亦可以添加到气体混合物。在一些实施例中,气体混合物没有任何氧。
本发明的实施例可以用在使用蚀刻的各种不同的制造处理中。就是说,申请人的方法的实施例可以用各种不同的材料、环境、处理步骤和设置来进行。例如,该方法可以用在前段和后段应用中。如这里详细所述,本发明的各种实施例的一些应用可以包括使用镶嵌或双重镶嵌处理。在这样的处理中,在层间电介质(ILD)、金属间电介质(IMD)等材料的蚀刻中,可以应用本发明的实施例来增大蚀刻速率,同时实现需要级别的产品质量。具体而言,本发明可以用于在ILD、IMD或类似这样的层中形成OSG过孔、沟槽或其它类似的特征,允许在半导体集成电路中制造多级互连结构。在一些实施例中,ILD或IMD是掺杂碳的硅氧化物膜结构。
近年来,已经使用双重镶嵌处理来增加集成电路的性能。使用双重镶嵌图案化技术,标准的铝和硅氧化物互连结构已经被铜和低k电介质材料替代。双重镶嵌图案化技术的使用通常是在后段处理中进行,在后段处理中形成器件和部件之间的互连。
直到最近,后段处理通常包括使用钨插塞和铝互连的结合。一般来说,铝沉积在一些区域上方然后被选择性地蚀刻以限定需要的互连。但是,由于需要进一步增加性能,最近具有更高导电性的材料(例如铜)已经用于互连。尽管铜的使用提供了许多优点,但是不能像用铝一样通过蚀刻来形成。
结果,已经开发了制造处理以允许铜的沉积,而不需要铜蚀刻步骤。在镶嵌和双重镶嵌处理中,诸如过孔和沟槽之类的特征限定在第一材料中,然后第二材料沉积到这些特征中。蚀刻的第一材料通常是电介质,而沉积的第二材料是金属,例如铜。另外的层可以通过CMP平面化处理来增加,CMP平面化处理提供了用于形成下一层的沉积表面。结果,这样的处理允许铜互连选择性地形成在一个或多个层中,而不需蚀刻铜。
使用诸如铜的具有较低电阻的互连材料以及位于互连之间的绝缘材料可以导致在互连结构或层之间形成增大的电容。通过减小互连的信号传输速度,该增大的电容可能负面地影响器件的性能。
通过减小位于互连之间的材料的介电常数k,可以减小电容影响,并且与用铝互连获得的信号传输速度相比,可以恢复信号传输速度或者甚至增大信号传输速度。低k电介质包括掺杂碳的硅氧化物和其它类似的材料。低k材料的高碳含量易于引起它们很难被蚀刻,因为在蚀刻中产生的较大量的碳副产品或残余物可能随着蚀刻进行而干扰蚀刻。这种干扰的增加可能是由于使用深度紫外(DUV)光刻胶而产生的残余物或残渣的负面影响。
如这里详细所述,本发明的实施例可以应用到低k电介质的蚀刻中,该低k电介质的蚀刻包括使用DUV光刻胶的处理。申请人的发明的实施例提供了对低k电介质的蚀刻速率的增加,而不导致由于残余物形成的负面影响。
双重镶嵌处理的示例示出在图1A-1F中。如图所示,结构或晶片100包括衬垫110、阻挡层120、层间电介质(ILD)层130、和图案化的光刻胶140,如图1A所示。图1B示出在沉积ILD层130之后,过孔132被图案化在ILD层130中。然后,在电介质蚀刻反应器中蚀刻过孔并剥离光刻胶140之后,清洁晶片100,并且底部抗反射涂层(BARC)、抗蚀剂、或其它ARC材料150旋涂在晶片100上,如图1C所示。然后,如图1D和1E所示,在等离子体反应器中回蚀(etch back)晶片100,并送回到沟槽光刻,以施加图案化的光刻胶层160。最后,如图1F所示,在电介质蚀刻反应器中,打开沟槽134,剥离抗蚀剂160和BARC/抗蚀剂/ARC150填充,并打开阻挡层120。
在一些实施例中,本发明是如上所述的镶嵌方法。在其它实施例中,如图1A和1B之间所示,在镶嵌处理的第一步骤中使用该方法,其中蚀刻ILD层130。在其它实施例中,如图1E和1F之间的蚀刻所示,在处理之后使用该方法,在图1E和1F所示的示例中,通过蚀刻ILD层130形成沟槽134,并蚀刻ARC150和阻挡层120。
此外,存在可以使用本发明实施例的其它互连集成,包括回蚀BARC填充、完全BARC填充、多层抗蚀剂和双集成。
本发明的应用的具体示例包括蚀刻有机硅酸盐玻璃(OSG)、低k电介质。其中OSG可以是例如在90nm或以下的处理中使用的低k膜。清楚的是,该图案化处理可以应用到任何低k OSG的多孔和非多孔膜中。当然,本发明的处理的应用不限于双重镶嵌结构或OSG蚀刻。
在实施例中,蚀刻材料可以提供到蚀刻室或等离子体炉中。这里描述可以使用的蚀刻工具的示例。一个示例是室或等离子体室1700,如图17所示。等离子体室1700包括室1710,室1710具有侧壁1712、顶1714、淋浴头1716和基座1718。等离子体室还包括内部区域1720、处理区域1722、抽吸环1724、真空泵1730、处理气体供应1750、源功率1760、匹配电路1762、天线1764、偏压功率1770和匹配电路1772。
晶片1740可以位于基座1718上用于处理。淋浴头1716连接至气体供应1750,以提供气体混合物至晶片1740上方的处理区域1722。源功率1760、匹配电路1762、天线1764、偏压功率1770和匹配电路1772可以用于形成等离子体,以蚀刻晶片1740。
清楚的是,各种不同的室和室1700的变化可以用于进行本发明的不同实施例的方法。
用包含氢的气体混合物进行蚀刻:
本发明的实施例使用包含氢的蚀刻化学物质,除了别的以外,该氢可以增强对光刻胶层的选择性并最小化或消除蚀刻轮廓微负载和蚀刻速率微负载,同时提供需要的蚀刻轮廓。
在一些实施例中,用于蚀刻气体混合物中的氢是H2或双原子氢。
如图2A所示,该方法的实施例可以包括具有以下步骤的制造方法200:提供蚀刻材料210、施加包含氢的气体混合物230、形成等离子体240,以及蚀刻所述蚀刻材料250。如这里所述,这些步骤中的每个可以包括一个或多个子步骤,并且/或者可以以几个不同变量的各种不同的具体值或值的范围进行。此外,在一些实施例中,可以重复该方法的一个或多个步骤,以进行附加的蚀刻,此蚀刻包括用于具有两个阶段的蚀刻、多阶段蚀刻(包括ARC打开和过蚀刻)的实施例,如这里详细所述。
方法200的初始步骤是提供蚀刻材料210。该步骤用图3A和4A中提供的蚀刻结构的两个实施例来示出,当然也可以使用结构的其它实施例。
各种不同的材料可以通过方法200来蚀刻。在本发明的一些实施例中,在电介质材料(包括低k电介质)上进行蚀刻。通过本发明的方法可以蚀刻各种材料,包括诸如搀杂碳的硅氧化物、SiO2、SiO、SiOCH等的材料。SiO2材料可以是搀杂有如下材料的SiO2:磷或PSG、P-玻璃、磷硅酸盐玻璃(PSG)、硼磷硅玻璃(BPSG)、氟化的硅玻璃(FSG)等。由于其搀杂,BPSG材料可以用在本方法的前段蚀刻实施例中。SiOCH材料可以包括由美国San Jose,California的Applied Materials,Inc.制造的BLACK DIAMOND(BD1),其具有大约3.1与大约2之间的k;由Netherlands的ASM International NV ASMI of Bilthoven制造的AURORASD以及由美国San Jose,California的Novellus systems Inc.制造的CORAL。由该方法蚀刻的材料可以是旋涂的且/或是多孔材料,具有大约2.5和2.2之间的k值。在其它实施例中,电介质材料由CVD方法沉积。
注意,用本方法的实施例蚀刻电介质提供了一些优点,包括改进的蚀刻结果和选择性。低k电介质包括相对于其它已知的电介质材料(例如SiO)具有较低介电常数(k)的那些。这些低k值可以包括处于大约2.0至大约3.7的范围内的那些。这种低k电介质材料的一些具体示例描述在这里。这些材料可以用在各种不同的应用中,包括层间电介质(ILD)和金属间电介质(IMD)。
图3A示出可以用方法200蚀刻的薄膜结构300的一个实施例。也就是说,该图示出结构300的横截面,结构300具有电介质层310、电介质层310上方的抗反射涂层(ARC)320、以及位于ARC320上的光刻胶层330。
如图所示,光刻胶330可以被图案化,以限定间隙332,间隙332向下延伸至抗反射涂层320并暴露其一部分。间隙332可以通过各种已知的光刻胶图案化技术(包括光刻)来形成。间隙332允许选择性蚀刻抗反射涂层320和电介质层310,如图3C所示。根据具体的材料和应用,在一些实施例中,光刻胶层的厚度可以在大约1500至大约7000的范围内。
抗反射涂层320可以是任何一种抗反射材料。一种可使用的ARC材料是旋涂氧化物。对于与低k电介质具有大致类似成分的旋涂氧化物,相同的蚀刻化学物质可以用于蚀刻ARC和电介质,提供用于一步蚀刻处理,如这里进一步所述。一种这样的旋涂氧化物是DUO,其可以从美国Sunnyvale,California的Honeywell,Inc.,Honeywell Electronic Materials得到。其它可使用的ARC包括BARC材料和/或DARC材料,如这里进一步所述。
在一些实施例中,用于DUO层的厚度从大约1000至大约2000,用于BARC层的厚度从大约400至大约700,用于DARC层的厚度从大约600至大约800。在蚀刻之后去除DUO的方法包括灰化、湿化学法等。
电介质层330可以是诸如掺杂碳的硅氧化物之类的各种材料。其它的电介质材料包括这里更详细描述的那些。在一些实施例中,对于单镶嵌来说,用于电介质层的厚度可以从大约1500至大约3000,对于双重镶嵌的实施例,用于电介质层的厚度可以从大约3000至大约6000。
在一些实施例中,当蚀刻沟槽或其它大的开口特征时,使用与结构300类似的结构。在其它实施例中,当在具有过孔的结构中蚀刻沟槽时,例如对于双重镶嵌实施例,可以使用具有诸如结构300的层之类的结构。
图4A示出可以用方法200蚀刻的薄膜结构400的另一个实施例。就是说,该图示出结构400的横截面,结构400具有衬垫410、衬垫410上方的阻挡层420、位于阻挡层420上的电介质层330、位于电介质层430上方的抗反射涂层(ARC)440、以及ARC440上的光刻胶层450。
光刻胶450限定间隙452,间隙452向下延伸至ARC层440并暴露其一部分。间隙452可以通过各种已知的光刻胶图案化技术(包括光刻)来形成。间隙452允许选择性蚀刻ARC440和电介质层430,如图4C-4E所示。对于一些实施例,光刻胶450的厚度可以在大约1500至大约7000的范围内。
根据实施例,抗反射涂层440可以包括一个或多个层。如图4A所示,ARC层440是两层,其可以包括底部抗反射(BARC)层442和电介质抗反射(DARC)层444。BARC层442可以是多种材料中的任何一种。DARC层444可以包括SiON或SiO2材料。对于一些实施例,用于BARC层的典型厚度从大约400至大约700,用于DARC层的典型厚度从大约600至大约800。在一些实施例中,ARC440仅包括BARC442或DARC444中的任意一个。在一些实施例中,ARC440包括位于覆盖层上方的ARC层,其中覆盖层可以是TEOS层。
电介质层430可以是各种材料,包括这里所提出的那些,在一些实施例中,电介质层430是搀杂碳的硅氧化物。在一些实施例中,对于单镶嵌来说,电介质层的厚度从大约1500至大约3000,而对于双重镶嵌来说,该厚度从大约3000至大约6000。
阻挡层420可以是诸如BLOK、BLOK II或BLOK III之类SiCN或SiC材料,它们都可以从San Jose,California的Applied Materials,Inc.得到。其它可以使用的阻挡层材料包括SiCN和Si3N4。在一些实施例中,用于阻挡层的厚度从大约300至大约600。
衬垫410可以是金属衬垫,例如铜、铝、钨、铂等。
在具体实施例中,当蚀刻过孔特征或包含过孔的结构时,使用与结构400类似的结构。
蚀刻材料可以提供到蚀刻室或等离子体炉中,以辅助方法的附加步骤。这里描述了可以使用的蚀刻工具的示例,包括图17中所示的。
方法200的另一个步骤是施加包含氢的气体混合物200,如图2A-2G的流程图以及图3B和4B的结构实施例所示。
根据本发明的具体实施例,用于蚀刻的气体混合物中的气体的数量和类型可以改变。就是说,使用的气体的流速可以根据方法200的不同实施例以及在蚀刻处理的具体部分中在各个范围内变化。例如,在电介质的主蚀刻(ME)中使用的气体的类型和量可以与蚀刻处理的其它阶段(例如在打开蚀刻或过蚀刻过程中)使用的那些非常不同,如这里进一步描述的。在一些实施例中,在蚀刻过程中碳氟化合物、无氢的碳氟化合物和/或氢碳氟化合物可以与氢一起使用。在蚀刻的任何阶段所使用的氢、碳氟化合物、无氢的碳氟化合物、氢碳氟化合物和/或其它气体的具体量可以根据方法的实施例而变化。
在一些实施例中,在蚀刻过程中,氢(H2)的流速的范围在大约10标准立方厘米每分钟(sccm)和250sccm之间。但是,如这里进一步详细描述的,在方法的一些实施例中,对于沟槽蚀刻,以40sccm、60sccm和75sccm或其附近的H2流速进行蚀刻,对于过孔蚀刻,以200sccm或其附近的H2流速进行蚀刻。氢气可以是非蚀刻剂气体。
根据具体实施例,蚀刻气体混合物还可以包括碳氟化合物或无氢的碳氟化合物。施加的碳氟化合物或无氢的碳氟化合物的量可以改变,但是在一些实施例中,流速可以在从大约20sccm至大约200sccm的范围内。碳氟化合物或无氢的碳氟化合物气体可以是CxHy气体(其中x可以包括x=1,2,3……,而y可以包括y=1,2,3……)。此碳氟化合物或无氢的碳氟化合物气体的一些示例包括来自包括CF4、C2F2、C2F4、C3F6、C4F6、C4F8、C5F8、C6F6等的组中的气体。例如,如实施例的示例所示,使用的无氢的碳氟化合物气体是CF4气体,其对于沟槽蚀刻具有100sccm或其附近的流速,对于过孔蚀刻具有60sccm或其附近的流速。碳氟化合物或无氢的碳氟化合物气体可以是蚀刻剂气体的一部分。
根据实施例,蚀刻气体混合物还可以包括氢碳氟化合物气体。对于一些实施例,氢碳氟化合物的量可以在从大约20sccm至大约200sccm的范围内。氢碳氟化合物气体可以是CxHyFz(其中x可以包括x=1,2,3……,而y可以包括y=1,2,3……,z可以包括z=1,2,3……)。这样的氢碳氟化合物的示例可以包括来自包括C2HF5、CHF3、CH2F2、CH3F、C3H2F6、C3H2F4、C3HF5、C3HF7等的组中的气体。如这里进一步详述的,使用氢碳氟化合物气体的实施例的示例是使用CH2F2气体,其具有10sccm或其附近的流速,用于与包括碳氟化合物气体的其它气体一起蚀刻过孔。氢碳氟化合物气体可以是蚀刻性气体的一部分。
在一些实施例中,蚀刻气体混合物包括无氢的碳氟化合物和氢碳氟化合物气体。根据具体实施例的要求,在一些实施例中,这样的组合气体可以以大约10sccm至大约200sccm流动,其中无氢的碳氟化合物和氢碳氟化合物的比例从大约0至大约1。组合的无氢的碳氟化合物/氢碳氟化合物气体包括从Cx’Fy’(其中x’可以包括x’=1,2,3……,y’可以包括y’=1,2,4……)和Cx”Hy”Fz”(其中x”可以包括x”=1,2,3……,y”可以包括y”=1,2,4……,z”可以包括z”=1,2,3……)组成的组中选择的两种或多种气体的组合。组合的无氢的碳氟化合物和氢碳氟化合物气体的具体示例包括CF4/C4F8/CH2F2、C4F6/CHF3、C4H8/CHF3、C4F6/CH2F2等。如这里进一步详细所述,一些实施例对过孔蚀刻使用CF4气体和C4F8气体的组合,其中CF4气体以60sccm或其附近流动,C4F8以15sccm或其附近流动,而在另一个实施例中,对过孔蚀刻使用CF4气体、C4F8气体和CH2F2气体的组合,其中CF4气体以60sccm或其附近流动,C4F8以大约15sccm或其附近流动,CH2F2气体以大约20sccm或其附近流动。
根据实施例,氢气和非蚀刻剂气体的流量与无氢的碳氟化合物、氢碳氟化合物气体或蚀刻气体的流量的比例可以改变。在一些实施例中,比例在大约0.3与大约5.0之间,而在另一些实施例中在大约0.6与2.7之间。在一些具有氢和无氢的碳氟化合物气体的实施例中,比例可以在大约0.3至大约2.7的范围内。在一些具有氢、无氢的碳氟化合物和氢碳氟化合物气体的实施例中,比例可以在大约0.55至大约2.1之间。如这里的示例中的流速所示,一些示例比例包括大约0.36、0.56、0.6、0.75、1.11、2.11和2.67。当然,根据各种气体的流速,也可以使用其它的比例。
在一些实施例中,蚀刻气体混合物还可以包括一种或多种惰性气体。这些惰性气体的示例包括选自包含He、Ne、Kr、Xe和Ar等的组中的任何气体。在一些实施例中,氩(Ar)气以0sccm或其附近至600sccm或其附近的流速用在气体混合物中。如这里进一步详细描述的,对于一些具体实施例,对沟槽蚀刻使用为200sccm或其附近的Ar流速,对过孔蚀刻使用为600sccm或其附近的Ar流速。
在实施例中,气体混合物还可以包括氮(N2)、和/或一氧化碳(CO)气体。在一些实施例中,N2气的流速在大约0sccm至大约200sccm之间。如这里进一步详细所述,一些实施例对沟槽蚀刻具有大约100sccm的N2气体流速,而对于过孔蚀刻具有50sccm或其附近的N2流速。在一些实施例中,CO的流速在大约100sccm至大约600sccm之间。
根据方法的实施例,在蚀刻中使用的气体混合物可以是这里所述的任何一种或气体的组合。例如,在至少一个实施例中,气体混合物可以包括:以大约25sccm至250sccm流速的H2;以20sccm至大约200sccm流速的CxHy或选自CxHy和CxHyFz的两种或多种的组合;以100sccm至大约600sccm流速的Ar和以大约0sccm至大约200sccm流速的N2。
蚀刻的特征的类型可以确定蚀刻中使用的气体类型和它们的流速。在一些实施例中,为了蚀刻沟槽和/或较大的开口区域,使用的气体混合物是贫化学物质。在另一些实施例中,为了蚀刻过孔,使用的气体化合物是富化学物质。例如,为了沟槽蚀刻,使用诸如CF4的低碳气体,相反,为了过孔蚀刻,使用诸如C4F8和CH2F2之类的高碳气体。贫化学物质在蚀刻中形成较少聚合物,其中去除了更多材料,例如形成沟槽或其它较大的开口区域。富化学物质通过提供对PR和阻挡层的较高选择性有助于蚀刻。
图2B的流程图示出施加包含氢的气体混合物的步骤230,该步骤可以包括施加附加的气体至气体混合物。也就是说,施加步骤230还可以包括以步骤:其中氢是氢气231、其中气体混合物还包括无氢的碳氟化合物气体232、其中气体混合物还包括氢碳氟化合物气体233、其中气体混合物还包括无氢的碳氟化合物和氢碳氟化合物气体234、其中气体混合物还包括惰性气体235、其中气体混合物还包括氮气236以及其中气体混合物还包括一氧化碳气体237。
如图2C所示,其中氢是氢气231的步骤可以包括附加的步骤。也就是说,这些步骤可以包括其中以大约10sccm至大约250sccm之间的流速施加氢气的步骤231a以及其中氢气流速在大约10sccm至大约75sccm之间的步骤231b。在至少一个实施例中,步骤231b是还原的氢蚀刻,如这里进一步详细所述。
如图2B和2D所示,对于其中气体混合物还包括无氢的碳氟化合物气体的步骤232,如图的步骤232a所示,无氢的碳氟化合物气体可以是CxHy气体(其中x=1,2,3……,y=1,2,3……),且如232b所示,无氢的碳氟化合物气体可以以大约20sccm至大约200sccm之间的流速施加。注意,CxHy气体可以包括CF4、C2F2、C2F4、C3F6、C4F6、C4F8、C5F8和C6F6中的一种或多种。
类似地,如图2B和2E所示,对于其中气体混合物还包括氢碳氟化合物气体的步骤233,如图的步骤233a所示,氢碳氟化合物气体可以是CxHyFz气体(其中x=1,2,3……,y=1,2,3……,z=1,2,3……),如233b所示,氢碳氟化合物气体可以以大约20sccm至大约200sccm之间的流速施加。CxHyFz气体可以包括C2HF5、CHF3、CH2F2、CH3F、C3H2F6、C3H2F4、C3HF5和C3HF7中的一种或多种。
如图2B和2F所示,对于其中气体混合物还包括无氢的碳氟化合物和氢碳氟化合物气体的步骤234,如234a中所示,无氢的碳氟化合物气体和氢碳氟化合物气体可以是Cx’Fy’/Cx”Hy”Fz”气体(其中x’=1,2,3……,y’=1,2,3……,x”=1,2,3……,y”=1,2,3……,z”=1,2,3……)。此外,无氢的碳氟化合物气体和氢碳氟化合物气体的流速可以在大约10sccm至大约200sccm之间,如234b所示。Cx’Fy’/Cx”Hy”Fz”可以包括CF4/C4F8/CH2F2、C4F6/CHF3、C4F8/CHF3和C4F6/CH2F2。
使用的气体可以作为气体成分的预先形成的混合物、多于一个成分的部分混合物、或者作为单独成分在室中混合的方式来施加。气体流的一个或多个(例如双流)可以用于输送气体。在将气体引入到室中之前混合气体可以使用淋浴头或类似的装置。
注意,图3B和4B示出可以由本发明蚀刻的结构的实施例。如图所示,在图3B中,区域340限定在结构300上方并围绕结构300,其中可以施加蚀刻气体混合物以辅助结构300的蚀刻。类似地,在图4B中,区域460限定在结构400的上方,这里可以施加蚀刻气体混合物。
气体混合物的压力值还可以根据实施例而变化。对于一些实施例,压力从大约5毫托(mT或mTorr)至大约400mTorr。在一些实施例中,如这里详细所述,对于沟槽蚀刻,压力从20mTorr或其附近至20mTorr(或其附近),对于过孔蚀刻,压力为40mTorr或其附近。
本发明的一些实施例对于沟槽和过孔蚀刻使用相对较低的压力。在这样的实施例中,根据蚀刻的类型,压力从5至30mTorr变化。
上述的压力范围和值是对于直径为300mm或附近的晶片而言的,对于其它尺寸值的晶片相应地调节。在一些使用200mm晶片的实施例中,压力与用于300mm晶片蚀刻的压力大约相等。
在本发明的一些实施例中,如图2G所示,施加包含氢的气体混合物的步骤230可以包括以大约5mTorr至大约400mTorr的压力施加气体混合物的步骤238。步骤238也可以包括以大约5mTorr至大约30mTorr的压力施加气体混合物的步骤238a。对于以相对较低压力蚀刻的实施例可以使用步骤238a。
制造方法的形成等离子体的步骤240示出在图2A和2H中。在步骤240中,根据实施例,用特定的源功率和偏压功率形成等离子体。
如这里进一步详细所述,源功率和偏压功率可以设定的具体电平或电平的范围依赖于(至少部分依赖于)使用的晶片的尺寸。一般来说,晶片的直径越大,偏压电平越大,室的容量越大,源电平越大。这里所述的最大范围和值是对于直径为300mm的晶片而言的,对于其它尺寸的晶片,可以相应地调节该值。例如,200mm直径晶片的偏压设定将是300mm晶片所用值的大约一半,但是200mm晶片和300mm晶片使用的源大致类似。
在一些实施例中,密度或电子密度可以从大约5×109至大约5×1011电子/cm3以及以上,包括大约5×1010电子/cm3及以上。当然,在其它实施例中也可以使用其它的电子密度的范围。
在本发明的一些实施例中,在主蚀刻中的源功率设置可以在从大约0瓦至大约2000瓦的范围内,其中一些实施例使用大约0瓦或附近的源电平以及大约200瓦或附近的源电平。源功率的使用能够帮助打开被蚀刻的特征,并防止或减少蚀刻停止。
对于一些实施例,如这里所述,对于主蚀刻,RF偏压可以设置在大约300瓦至大约3000瓦之间,其中一些情况下对沟槽蚀刻具有大约900瓦和1500瓦或附近的RF偏压设置,对于过孔蚀刻具有1100瓦或附近的RF偏压设置。对于蚀刻200mm晶片的实施例,偏压功率可以在150瓦和1500瓦之间,其中一些情况具有450瓦至1500瓦之间的偏压。偏压功率的频率可以根据实施例而变化,其中至少一个实施例以13.56MHz或附近的频率进行操作。
在一些实施例中,蚀刻中的操作温度可以从大约-20℃至大约40℃,其中一些实施例中对沟槽蚀刻具有20℃的阴极温度,而对于过孔蚀刻具有25℃的阴极温度,如这里所述。
根据本发明的一个或多个实施例,多种蚀刻工具中的任何一种可以用于蚀刻,包括双频率使能器或电介质蚀刻使能器。可使用的工具的示例包括APPLIED CENTRUA ENABLER ETCH,APPLIED ENABLER ETCH和APPLIED PRODUCER ETCH,它们都可以从San Jose,CA的AppliedMaterials,Inc.得到。可使用的工具包括美国专利申请号10/192,271中所提出的,该专利申请是Hoffman等于2002年7月9日提交的,题为“CAPACITIVELY COUPLED PLASMA REACTOR WITH MAGNETICCONTROL”,其通过引用将其整体包含在这里。
当然,也可以使用由Applied Materials或其它制造商制造的类似的工具。通常,使用的工具可以被调整,以兼容与这里所述的工具之间的差异,并兼容包括工具的具体的偏压和源频率、晶片尺寸等的因素。此外,根据室的具体容积,可以调节化学物质。这种调整和调节可以由本领域技术人员进行。
如上所列,可以从Applied Materials得到的每个具体的蚀刻工具具有包括中性物质调节单元(Neutral Species Tuning Unit或NSTU)以及充电物质调节单元(Charged Species Tuning Unit或CSTU)的控制。NSTU和CSTU控制用于均匀性的调节,除了其它方面,该均匀的调节允许蚀刻速率和临界尺寸(或CD)、均匀性的独立控制。CSTU包括控制蚀刻速率均匀性的内(I)和外(O)设置,而NSTU设定气体的流动图案(也就是从室中的淋浴头)。能够设定流动图案允许处理更加均匀。气体混合物的气体可以在由淋浴头分配之前进行混合。
如图2H的流程图所示,形成等离子体240的步骤可以包括:用0瓦至2000瓦的源功率形成等离子体242、用300瓦至3000瓦的RF偏压形成等离子体244、等离子体具有大约5×109电子/cm3至大约5×1011电子/cm3及以上的电子密度246、以及使用一个或多个频率形成等离子体的步骤248。步骤242可以进一步包括使用0瓦的源功率243a和使用200瓦的源功率243b。步骤244可以进一步包括:RF偏压功率为900瓦245a、RF偏压功率为1100瓦245b、以及RF偏压功率为1500瓦245c。步骤246可以包括等离子体具有大于5×1010电子/cm3的电子密度247。根据实施例,用一个或多个频率形成等离子体的步骤248可以包括用2MHz的频率的步骤249a和用13.56MHz的频率的步骤249b。
在一些实施例中,等离子体以13.56MHz或附近的频率形成。在其它实施例中,使用多于一个的频率形成等离子体,包括2MHz或附近以及13.56MHz或附近的频率。此外,功率在各个频率处的分配可以变化。例如,在一些实施例中,使用的偏压功率可以是50%的2MHz偏压和50%的13.56MHz偏压的组合。为了提供多于一种的频率,可以对一些实施例使用诸如APPLIED DFB ENABLER ETCH TOOL之类的工具,该工具可以从美国San Jose,California的Applied Materials,Inc.得到。
如图2A、2I和2J、3C和4C-4E所示,方法200中的另一个步骤是蚀刻所述蚀刻材料250。在该步骤中,通过使用含氢的气体混合物,在蚀刻材料中限定开口。
包括蚀刻速率、蚀刻持续时间、蚀刻的开口的深度和轮廓、选择性和蚀刻停止的因素可以根据方法的具体实施例而变化。就是说,这些因素的具体值和/或范围将根据以下的项目而变化:蚀刻的结构的层、使用的具体电介质、抗反射涂层的类型(如果需要的话)、阻挡层的类型(如果需要的话)、源功率电平、偏压功率电平、气体混合物的成分和浓度、晶片直径等。
蚀刻的持续时间可以根据方法200的实施例而变化。在一些实施例中,持续时间从大约40秒至大约70秒变化。一些实施例中对用于沟槽蚀刻的主蚀刻具有大约40秒至大约70秒的持续时间,而对于用于过孔蚀刻的主蚀刻具有大约60秒的持续时间。
由蚀刻产生的开口的深度和轮廓可以根据实施例而变化。例如,对过孔开口可能更深,或者对沟槽开口可能更宽,并且在一些情况下,开口具有倾斜或竖直的侧壁。尽管通常需要最小化或消除过孔的锥形,但是沟槽轮廓中的锥形通常不是问题,因为沟槽通常用于电隔离管芯区域,而通常不用于互连。
蚀刻的选择性也可以根据实施例而变化。电介质的蚀刻速率对光刻胶的蚀刻速率的选择性可以从1至无穷大。在一些实施例中,光刻胶选择性是5。
根据实施例,在蚀刻步骤250中可以进行结构的所有蚀刻,或者在一些情况下,在一个或多个附加的蚀刻步骤中可以进行结构的所有蚀刻。这些附加的蚀刻步骤可以包括诸如ARC打开蚀刻或过蚀刻之类的步骤。
如图2J所示,在一些实施例中,步骤250包括单步骤蚀刻251和多步骤蚀刻254。单步骤蚀刻251可以包括用单个化学物质蚀刻ARC和电介质252,该步骤也可以包括蚀刻沟槽253。多步骤蚀刻254可以包括蚀刻过孔255。
单步骤蚀刻:
在本发明的实施例中,其中蚀刻的结构具有ARC层,可以用与蚀刻电介质层相同的化学物质来蚀刻ARC层,这里可以使用单蚀刻步骤。可以由相同的化学物质蚀刻的ARC层的示例是DUO ARC,如这里所述。图3的结构包括可以通过与用于蚀刻电介质相同的化学物质来蚀刻的ARC。
蚀刻的结构300示于图3C中,该结构形成了开口350。根据实施例,该蚀刻可以使用单个化学物质进行单蚀刻步骤来进行,以蚀刻穿过ARC320和电介质层310。开口350定位为从间隙332向下延伸,穿过ARC层320并进入电介质材料310。得到的开口350的具体尺寸(例如深度)和形状依赖于各种因素,其包括光刻胶间隙322的尺寸和位置、ARC320和电介质310的类型、源功率电平、偏压功率电平、气体混合物和蚀刻的持续时间。根据具体的应用,开口350可以形成为各种构造中的任何一种,其中构造包括过孔或沟槽。但是,在使用ARC(例如DUO)的情况下,对于开口350的单阶段蚀刻,开口通常是沟槽。
在用单阶段蚀刻进行沟槽蚀刻的实施例中,可以使用从5至30mTorr的相对低的气压。
本发明的实施例的示例如下设置。示例1、2和6蚀刻共同的结构,如下所述。对这些示例中的每个提供的结构是具有层结构的300mm直径晶片,如图5所示。蚀刻结构500包括层间电介质(ILD)层510、ILD层510上方的DUO抗反射层520以及位于DUO ARC层520的顶部上的光刻胶层(PR)530。在每个示例中,ILD层510的电介质材料是搀杂碳的硅氧化物的低k膜。DUO ARC520沉积在ILD层510上以在光刻曝光中减少反射。使用的光刻胶530是TOK7A7O 193nm光刻胶,其可以从KawasakiCity,Japan的Tokyo Ohka Kogyo Co.,Ltd.(TOK)得到。用于在示例1至8中的每个中进行蚀刻的蚀刻工具是如上所述的APPLIED ENABLERETCH工具。
示例1:
本发明的实施例的一个示例包括用包含氢(H2)、四氟甲烷(CF4)、氮(N2)以及氩(Ar)的气体混合物来蚀刻沟槽结构。
这里注意,蚀刻的结构具有如图5中的结构500所示的层结构。
在蚀刻中,施加的气体混合物在30mTorr的压力下具有60sccm的H2流速、100sccm的CF4流速、100sccm的N2流速以及200sccm的Ar流速。
用于蚀刻形成的等离子体具有900瓦的偏压和0瓦的源。用于该结构的APPLIED ENABLER ETCH工具蚀刻40秒。
示例2:
本发明的另一个沟槽蚀刻实施例包括用包含H2、CF4、N2和Ar的气体混合物蚀刻沟槽结构。此示例形成的蚀刻的结构示出在图6的剖视图中。
这里注意,蚀刻的结构具有如图5所示的结构500中的层结构。
在20mTorr的压力下以75sccm的H2流速、100sccm的CF4流速、100sccm的N2流速以及200sccm的Ar流速施加气体混合物。
在施加的气体的情况下,形成用于蚀刻的等离子体具有13.56MHz、1500瓦的RF偏压和0瓦的源。APPLIED ENABLER ETCH工具使NSTU设定为2.0,CSTU内/外(i/o)设定为0/0,并且晶片/卡盘冷却氦(He)内/外(内-外)压力为10T-10T。
一旦形成等离子体,在20℃的阴极温度(Tcath)下用上述的条件蚀刻该结构70秒。
用于此示例的蚀刻结果示出在图6中。如图6所示,结构600已经被蚀刻,心限定沟槽640。结构600包括ILD层610,BARC层620位于ILD层610上,PR层630在BARC层620的顶部上。
在此示例中,光刻胶选择性是8。该选择性大于从其它处理获得选择性,其中典型的光刻胶选择性大约为1。
如图6的示例结果中所示,一些得到的沟槽640的轮廓已经略微成锥形,以接近于竖直侧壁642和平的底部644,如图6所示。一些底表面644具有靠近侧壁642的小凹口646,这是由于少量的微沟槽引起的。
多步骤蚀刻:
对于不能由单化学物质有效且/或高效蚀刻的实施例,可以对方法200增加附加的蚀刻步骤。这些不有效和/或高效的蚀刻可能包括:对于蚀刻穿过所有层来说单化学物质具有不可接受的长持续时间和/或蚀刻质量非常低。对于蚀刻结构具有需要由单独的蚀刻化学物质来蚀刻的ARC的实施例,方法200可以包括蚀刻ARC层的步骤220,如图2I所示。此蚀刻步骤220可以是击穿蚀刻、ARC打开蚀刻、ARC蚀刻、覆盖层蚀刻(capetch)等。
根据实施例,在ARC打开蚀刻中可以使用各种气体。一种这样的气体是具有大约50sccm至大约400sccm的流速的CF4,在一些实施例中,具有150sccm或附近的速率。另一种可使用的气体是具有大约0sccm至大约400sccm的流速的三氟甲烷(CHF3)气体,在一些实施例中,流速为30sccm或附近。用于ARC打开蚀刻的另一种气体是具有大约0sccm至大约400sccm的流速的N2气体,其中具体实施例中,具有0sccm或附近的流速。Ar气可以包括在以大约0sccm至大约400sccm的流速的气体混合物中,在一些实施例中,流速可以为0sccm或附近。
在对300mm晶片的ARC打开蚀刻中,气体混合物的压力可以从大约30mTorr至大约400mTorr,在一些实施例中,对ARC打开蚀刻,压力设定在300mTorr或附近。对于其它尺寸的晶片的蚀刻,可以相应地调节压力值。在ARC打开蚀刻中施加气体的方式和位置可以如上述对于主蚀刻一样。
在ARC打开蚀刻中,源功率可以设定为从0瓦至300瓦,在本方法的一些实施例中,具有0瓦或附近的电平。对于ARC打开蚀刻,偏压可以设置在300瓦和2500瓦之间,一些实施例中具有2000瓦的偏压。
对于其中蚀刻的结构还包括阻挡层的本发明的实施例,其中阻挡层不能通过与蚀刻电介质层相同的化学物质来有效或高效蚀刻,方法200还可以包括蚀刻阻挡层260的步骤,如图2I所示。就是说,在这里所述的电介质蚀刻化学物质不能选择性地蚀刻直到阻挡层,而是穿过阻挡层蚀刻,单独的蚀刻化学物质可以用于蚀刻阻挡层260。在一些实施例中,用电介质蚀刻化学物质进行的电介质材料的蚀刻在刚要到达阻挡层之前结束。然后,对阻挡层具有较高选择性的阻挡层蚀刻化学物质用于蚀刻直到阻挡层。
根据实施例的需要,对阻挡层260的蚀刻可以包括在主蚀刻之后进行的一个或多个过蚀刻步骤。在一些实施例中,过蚀刻化学物质在气体混合物中缺少氢。在主蚀刻之后但是在过蚀刻(一个或多个)之前的过渡步骤可以用于从室去除氢,以防止蚀刻穿过阻挡层。
在一些实施例中,过蚀刻步骤具有气体混合物,该气体混合物包括大约6sccm至大约20sccm的C4F6(一些实施例中具有13sccm和17sccm之间或附近的速率)和大约0sccm至大约400sccm的N2(一些实施例中具有200sccm或附近的速率)、以及大约0sccm至大约800sccm的Ar(一些实施例中具有300sccm或附近的速率),对于300mm晶片,压力在大约5mTorr和大约60mTorr之间,一些实施例中,压力为20mTorr或附近。偏压在1000瓦和3500瓦之间,在一些实施例中为3000瓦,源在0瓦和300瓦之间,一些实施例中为0瓦。
应当清楚的是具有各种变量值的其它过蚀刻步骤(除了以上示例中所述的那些)可以用在本发明的实施例中。
图4示出结构400,其可以用在本发明的方法的多蚀刻步骤中。结构可以包括ARC层440和阻挡层420,它们不能通过与用于蚀刻电介质430相同的化学物质进行有效和/或高效地蚀刻。如图4C-4E中所示,开口470形成在机构400中。
开口470定位为从间隙452向下延伸,并且基于蚀刻的阶段,可以延伸穿过抗反射层440和电介质材料430到达阻挡层420。各个得到的开口470的具体尺寸(例如深度)和形状依赖于各种因素,包括:光刻胶间隙452的尺寸和位置、ARC440和电介质430的类型、源功率电平、偏压功率电平、气体混合物和蚀刻的持续时间。根据具体的应用,开口470可以形成为包括过孔和沟槽的各种构造中的任意一种。但是,在多阶段蚀刻中使用ARC和阻挡层的情况下,通常形成过孔。
在至少一个实施例中,蚀刻ARC层220的步骤可以提供诸如图4C所示的结果。如图所示,开口470已经被蚀刻穿过ARC440,并进入电介质430的一部分以形成开口472。接下来,可以进行施加气体混合物的步骤230、形成等离子体的步骤240和蚀刻所述蚀刻材料的步骤250,以蚀刻电介质430,如图4D的实施例中所示。如图所示,开口470已经向下延伸至靠近阻挡层420的端部,形成开口474。电介质430的电介质部分432刚好保持在阻挡层420上。部分432用于保护阻挡层420,并防止用于蚀刻电介质430的蚀刻化学物质提前蚀刻阻挡层420。部分432的尺寸可以根据方法200的实施例而变化。然后可以进行蚀刻阻挡层260的步骤以形成开口476,开口476延伸至阻挡层420,如图4E所示。
应当注意具有BARC或DARC材料层和/或阻挡层的实施例可以使这些层由用于蚀刻电介质的含氢气体混合物来蚀刻,例如用单阶段蚀刻,但是增加了蚀刻时间且/或减小了蚀刻轮廓。
在用多阶段蚀刻进行过孔蚀刻的实施例中,可以使用从5至30mTorr变化的相对低的气压。
以下的示例3-5和7-8说明本发明的方法实施例的示例,其中过孔蚀刻至层叠的结构中。
与示例3和5共同的是蚀刻的结构。这些结构在300mm直径的晶片上具有如图7所示的层。蚀刻结构700包括衬垫705、位于衬垫705上方的阻挡层710、位于阻挡层710上方的层间电介质(ILD)层720、位于ILD层720上方的电介质抗反射层(DARC层)或SiO2覆盖层730、位于DARC层或SiO2覆盖层730上的底部抗反射层(BARC层)740以及位于BARC层740的顶部上的光刻胶层(PR)750。在每个示例中,衬垫705是铜(Cu),阻挡层710是SiCN、BLOK II、SiC、BLOK III或低k或多孔阻挡层材料,该阻挡层材料起到蚀刻停止的作用。ILD层720的电介质材料是搀杂碳的硅氧化物的低k膜。BARC层是标准的有机抗反射层740,而DARC层或SiO2覆盖层730是无机层。也就是说,BARC是可以从Missouri的Brewer of Rolla得到的BREWER ARC 29A,DARC是可以从San Jose,CA.的Applied Materials得到的SiON。BARC和DARC沉积在ILD层720上,以在光刻曝光过程中减少反射。可以包括SiO2、SiC和Si3N4的覆盖层730用于对低k结构增加机械强度,以经得住CMP平面化。使用的光刻胶是TOK7A7O 193nm光刻胶,其可以从Kawasaki City,Japan的Tokyo Ohka Kogyo Co.,Ltd.(TOK)得到。用于在以下所述的各个示例中进行蚀刻的蚀刻工具是如上所述的APPLIED ENABLER ETCH具。
示例4使用与结构700类似的结构,除了DARC或覆盖层之外,如下所述。
示例3:
本发明实施例的用于过孔蚀刻的示例包括用含H2、CF4、八氟环丁烷(C4F8)、N2和Ar的气体混合物来蚀刻结构。
提供用于蚀刻的结构如图7所示地布置,其中的元件如下所述。APPLIED ENABLER ETCH工具用于蚀刻结构。
在具体的示例中,基于图7的结构700,BARC层740和覆盖层730首先被打开,然后进行主蚀刻,以蚀刻电介质层720。在40mTorr的压力下,在主蚀刻中施加的气体混合物包括200sccm流速的H2、60sccm的CF4、15sccm的C4F8、50sccm的N2和600sccm的Ar。用于蚀刻形成的等离子体具有1100瓦的偏压和0瓦的源。
示例4:
本发明实施例的用于过孔蚀刻的另一个示例包括用含H2、CF4、C4F8、CH2F2、N2和Ar的气体混合物来蚀刻结构。此示例的结果示出在图9的视图中。
注意,示例4的结构类似于示例3和5中使用的结构,除了不存在DARC或覆盖层。如图8所示,结构800包括衬垫805、位于衬垫805上方的阻挡层810、位于阻挡层810上方的层间电介质(ILD)层820、位于ILD层820上方的底部抗反射层(BARC层)840以及位于BARC层840的顶部上的光刻胶层(PR)850。另外,这些层中的每个如结构700中所描述。APPLIED ENABLER ETCH工具用于蚀刻结构。在此示例中,BARC层840可以用任何已知的处理或通过这里所述的任何方法打开。在如这里所述的单独步骤中进行剩余的蚀刻。
进行主蚀刻以蚀刻电介质。在40mTorr的压力下,在主蚀刻中施加的气体混合物包括200sccm流速的H2、60sccm的CF4、15sccm的C4F8、20sccm的CH2F2、50sccm的N2和600sccm的Ar。用于蚀刻形成的等离子体具有1100瓦的偏压和200瓦的源。APPLIED ENABLER ETCH工具使NSTU设定为4,CSTU外设定为5,并且晶片/卡盘冷却氦(He)内/外(内-外)压力为15T-15T。在25℃的阴极温度下,蚀刻该结构60秒。在主蚀刻的末端处,在刚要到达阻挡层810之前结束蚀刻。
接下来,在过蚀刻步骤之前进行一系列过渡步骤。第一过渡步骤在30mTorr的压力下具有300sccm流速的Ar、300瓦的偏压和0瓦的源。ENABLER ETCH工具使NSTU设定为1.35,CSTU外设定为0,晶片/卡盘冷却氦(He)内/外(内-外)压力为15T-15T。阴极温度为25℃,第一过渡步骤的持续时间为5秒。
第二过渡步骤在30mTorr的压力下具有15sccm流速的C4F6、0sccm的N2、200sccm的Ar、300瓦的偏压和0瓦的源。ENABLER ETCH工具使NSTU设定为9,CSTU外设定为2,He内/外压力为15T-15T。阴极温度为25℃,第二过渡步骤的持续时间为5秒。
第三渡步骤在30mTorr的压力下具有15sccm流速的C4F6、50sccm的N2、200sccm的Ar、3000瓦的偏压和0瓦的源。ENABLER ETCH工具使NSTU设定为9,CSTU外设定为2,He内/外压力为15T-15T。阴极温度为25℃,第三过渡步骤的持续时间为10秒。
接下来,为蚀刻至阻挡层810,过蚀刻(OE)步骤使用在30mTorr的压力下包括15sccm的C4F6、225sccm的N2和200sccm的Ar的气体混合物。偏压为3250瓦,源为0瓦。APPLIED ENABLER ETCH工具使NSTU设定为9,CSTU内/外(i/o)设定为0/2,晶片/卡盘冷却氦(He)内/外(内-外)压力为15T-15T。阴极温度为25℃,蚀刻持续时间为80秒。
在灰化步骤中去除光刻胶850。在该步骤中,在10mTorr的压力下,气体混合物包括500sccm的O2。偏压为200瓦,源为100瓦。APPLIEDENABLER ETCH工具使NSTU设定为2.4,CSTU内设定为14,晶片/卡盘冷却氦(He)内/外(内-外)压力为15T-15T。阴极温度为25℃,蚀刻持续时间为45秒。
此示例的蚀刻结果示出在图9中。如图所示,结构900被蚀刻,以限定过孔960。如图所示,结构900包括衬垫905、阻挡层910、位于阻挡层910上方的ILD层920、位于ILD层920上的BARC层940、以及位于BARC层940的顶上的PR层950。
在此示例中,光刻胶选择性是无穷大。该选择性大于从其它处理获得的选择性,其中典型的光刻胶选择性大约为3至5。
如图所示,得到的过孔960的轮廓具有竖直的侧壁962和平的底部或停止层964。
示例5:
本发明实施例的示例包括用含H2、CF4、C4F8、二氟代甲烷(CH2F2)、N2和Ar的气体混合物来蚀刻过孔结构。此示例的蚀刻的结构示出在图10的剖视图中。
此示例的蚀刻处理的第一步骤是提供待蚀刻的结构,如图7及以上所述。在APPLIED ENABLER ETCH工具中蚀刻该结构。
再次,对于图7所示的包含位于电介质层720上的BARC层740和DARC层730以及位于电介质层720之下的阻挡层710的结构700,在单独的步骤中进行蚀刻。
这些蚀刻步骤中的第一个是击穿或ARC打开蚀刻,其中ARC层被蚀刻穿过以允许稍后对电介质层的蚀刻。在ARC被打开的情况下,进行主蚀刻,以蚀刻电介质。在40mTorr的压力下,在主蚀刻中施加的气体混合物包括200sccm的H2、60sccm的CF4、15sccm的C4F8、20sccm的CH2F2、50sccm的N2和600sccm的Ar的气流。用于蚀刻形成的等离子体具有13.56MHz、1100瓦的偏压和200瓦的源。APPLIED ENABLERETCH工具使NSTU设定为2,CSTU内设定为5,并且晶片/卡盘冷却氦(He)内/外(内-外)压力为15T-15T。一旦形成等离子体,在25℃的阴极温度(Tcath)下,蚀刻该结构60秒,在以上所列的条件下,在刚要到达阻挡层810之前结束蚀刻。
接下来,为了蚀刻阻挡层710,使用过蚀刻(OE)步骤。在主蚀刻和过渡之后,进行两个过蚀刻(OE)步骤。在该示例中,在20mTorr的压力下,过渡具有300sccm流速的Ar,其具有300瓦的偏压、0瓦的源、1.35的NSTU、0的CSTU、15-15的He内-外压力以及25℃的阴极温度,持续时间为5秒。此示例的第一过蚀刻步骤包括13sccm流速的C4F6、200sccm的N2、300sccm的Ar、3000瓦的偏压、0瓦的源、20mTorr的压力、9的NSTU、1的CSTUo、15-15的He内-外压力以及25℃的阴极温度,持续时间为35秒。此示例的第二过蚀刻步骤包括17sccm流速的C4F6、200sccm的N2、300sccm的Ar、3000瓦的偏压、0瓦的源、20mTorr的压力、9的NSTU、1的CSTUo、15-15的He内-外压力、25℃的阴极温度,持续时间为45秒。
此示例的蚀刻结果示出在图10中。如图所示,结构1000被蚀刻以限定过孔1060。结构1000包括衬垫1005、阻挡层1010,位于阻挡层1010上方的ILD层1020、位于ILD层1020上方的DARC层1030、位于DARC层1030上方的BARC层1040以及位于BARC层1040的顶上的PR层1050。
如图10所示,过孔1060具有侧壁1062和位于阻挡层1010上的底部或停止层1064。侧壁1062具有略微向上的弓形并且底部1064是平的。减小的氢流量蚀刻:
相对于本发明的其它实施例,在蚀刻中,蚀刻方法的一些实施例具有较少或降低的氢。由于诸如条痕和更快蚀刻的原因,在蚀刻中减小氢气流量更好地限定了蚀刻轮廓、提供了更多保持的光刻胶和更少的损坏。
减少的氢实施例的蚀刻轮廓被很好地限定,具有或者至少倾向于具有更直和/或更竖直的侧壁和更平的底部。如这里详细所述,减小的氢流速蚀刻的示例示出在图11、13和14中。如图所示,与使用更高氢气流量的特征的侧壁相比,特征的侧壁更直且更竖直。类似地,与具有更高氢流量的蚀刻实施例的底表面相比,特征的底表面更平且更均匀。
通过具有更竖直的侧壁,减小的氢蚀刻对稍后沉积的材料提供了更大的横截面积,当稍后沉积的材料是导电材料时(例如铜),这将有利于减小电阻。竖直的侧壁允许以更大的纵横比形成更深的沟槽。因此,更加竖直的侧壁允许更近定位的蚀刻特征、增加特征的密度以及由此形成的器件的密度。这对于诸如过孔之类的特征具有附加的优点,过孔通常定位为彼此相对靠近。对于双重镶嵌沟槽来说,使蚀刻的特征具有更平的底部是很重要的,对于过孔处理来说这也是必要的。竖直的侧壁和平的底部两者减少了与非均匀和/或弓形表面相关的短路或其它问题的可能。
在用减小的氢流量实施例蚀刻之后仍然存在的光刻胶的厚度大于其它处理所保留的厚度。
通过保留更多的光刻胶,减小的氢流量的实施例还对光刻胶下面的结构提供了更大的保护。就是说,当在蚀刻中保留更多光刻胶时,诸如蚀刻或使光刻胶变形的条痕和微负载之类的影响将不会损坏下面的结构或减少对下面结构的损坏。如以下的示例中所示,光刻胶不会被条痕或其它这样的影响损坏。
注意,在本发明的一些实施例中,H2的流速在大约10sccm和大约250sccm之间。在具有减小的氢流速的一些实施例中,流速在大约10sccm和大约75sccm之间。在一些减小的氢流速的实施例中,流速为40sccm和50sccm。
在示例6中说明用减小的氢气流速来蚀刻沟槽的实施例的示例,在示例7和8中,说明用减小的氢气流速来蚀刻过孔的示例。
示例6:
在本发明的蚀刻实施例的示例中,使用包含H2、CF4、N2和Ar的气体混合物,但是相对于这里所述的其它示例,具有减小的氢气流速。根据此示例蚀刻的沟槽示出在图11的剖视图中。
此示例的蚀刻处理的第一步骤是提供待蚀刻的结构。对于前面的示例,用在此示例中的蚀刻结构是图5所示的结构,其中结构500和各个层和结构如以上详细所述。此外,注意,在APPLIED ENABLER ETCH工具中进行蚀刻。
为了开始蚀刻,第一步骤是在30mTorr的压力下以40sccm的H2流速、110sccm的CF4流速、100sccm的N2流速和200sccm的Ar流速来施加气体混合物。
用于蚀刻而形成的等离子体具有13.56MHz、1500瓦的RF偏压和0瓦的源。APPLIED ENABLER ETCH工具使NSTU设定为4.0,CSTU内/外(i/o)设定为1.2/0,晶片/卡盘冷却氦(He)内/外(内-外)压力为10T-10T。
一旦形成等离子体,蚀刻该结构40秒。在蚀刻过程中,阴极温度(Tcath)设定为20℃。得到的蚀刻速率为大约6000/min。
用于此示例的蚀刻结果示出在图11中。如图所示,结构1000已经被蚀刻,以限定沟槽1140。结构1100包括ILD层1110、在ILD层1110上方的ARC层1120、以及在ARC层1120的顶上的PR层1130。
在此示例中,光刻胶选择性为大约5至6。选择性大于其它处理中获得的选择性,其中典型的光刻胶选择性为大约1。
如图11的示例结果中所示,得到的沟槽1140的轮廓具有竖直且直的侧壁1142。沟槽1140还具有平的底表面1144。侧壁1142包括向外延伸的突起1146。突起1146是从蚀刻留下的聚合物沉积,当去除光刻胶以提供更均匀且直的侧壁1142时,突起1146也被去除。光刻胶层1130具有在蚀刻之后保留的足够厚度,这用于防止光刻胶130中的条痕、针孔或其它蚀刻。
与示例7和8共同的是蚀刻的结构。这些结构在300mm直径的晶片上具有如图12所示的层。蚀刻结构1200包括阻挡层1210、位于阻挡层1210上方的层间电介质(ILD)层1220、位于ILD层1220上方的覆盖层1230、位于覆盖层1230上的抗反射层(ARC)1240以及位于ARC层1240的顶上的光刻胶层(PR)1250。在每个示例中,阻挡层1210是可以从美国San Jose,California的Applied Materials得到的N-BLOK,其起蚀刻停止的作用。ILD层1220的电介质材料是SiCOH、BLACK DIAMONDI,如上所述,其可以从San Jose,California的Applied Materials得到。覆盖层1230是TEOS层,ARC层1240是标准有机抗反射层。也就是说,ARC是可以用Missouri的Brewer of Rolla得到的BREWER ARC 29A。可以包括SiO2、SiC和Si3N4的覆盖层用于增加低k结构的机械强度,以经得住CMP平面化。使用的光刻胶1250是TOK7A7O 193nm光刻胶,其可以从Japan,Kawasaki city的Tokyo Ohka Kogyo Co.,Ltd.(TOK)得到。
示例7:
在本发明实施例的另一个示例中,在多步骤蚀刻处理中蚀刻过孔,其中电介质有减小氢的气体混合物来蚀刻。减小的氢流速是相对于这里的其它示例中所述的较高氢流速而言的。根据此示例蚀刻得到的过孔示出在图13的剖视图中。
此示例的蚀刻处理的第一步骤是提供待蚀刻的结构。根据前面的示例,此示例中使用的蚀刻结构是图12中所示的,其中结构1200和各个层及结构如下详细所述。此外,注意,在APPLIED ENABLER ETCH工具中用300mm晶片进行蚀刻。
这些蚀刻步骤中的第一个是ARC打开和TEOS覆盖层打开,其中ARC和覆盖层被蚀刻穿过以允许稍后蚀刻电介质层。在ARC打开蚀刻中,在300mTorr的压力下,气体混合物包括150sccm流速的CF4、30sccm的CHF3。偏压为2000瓦,而源为0瓦。APPLIED ENABLERETCH工具使NSTU设定为1.35,CSTU内/外(i/o)设定为4/0,晶片/卡盘冷却氦(He)内/外(内-外)压力为10T-10T。蚀刻持续时间是30秒。
接下来,在20mTorr下,以400sccm的Ar、200瓦的偏压和150瓦的源进行过渡步骤。ENABLER ETCH工具使NSTU设定为3,CSTU内/外(i/o)设定为4.7/0,晶片/卡盘冷却氦(He)内/外(内-外)压力为20T-10T。过渡的持续时间为5秒。
在打开的BARC和覆盖层的情况下,进行主蚀刻,以蚀刻电介质。在此示例中,主蚀刻被划分为两个部分:第一主蚀刻(ME1)和第二主蚀刻(ME2)。在15mTorr的压力下,在ME1中施加的气体混合物包括50sccm流速的H2、15sccm的C4F6、30sccm的CH2F2、150sccm的N2和400sccm的Ar。用于蚀刻而形成的等离子体具有13.56MHz、1200瓦的RF偏压和150瓦的源。APPLIED ENABLER ETCH工具使NSTU设定为3,CSTU内/外(i/o)设定为4.7/0,晶片/卡盘冷却氦(He)内/外(内-外)压力为20T-10T。一旦形成等离子,蚀刻该结构35秒。
在15mTorr的压力下,在ME2中施加的气体混合物包括0sccm流速的H2、15sccm的C4F6、10sccm的CH2F2、200sccm的N2和400sccm的Ar。用于蚀刻而形成的等离子体具有13.56MHz、3000瓦的RF偏压和150瓦的源。APPLIED ENABLER ETCH工具使NSTU设定为5,CSTU内/外(i/o)设定为4.7/0,晶片/卡盘冷却氦(He)内/外(内-外)压力为20T-10T。一旦形成等离子,蚀刻该结构20秒,其中在刚要到达阻挡层1210之前停止蚀刻。
接下来,为了蚀刻阻挡层1210,使用过蚀刻(OE)步骤。此示例的过蚀刻步骤包括在20mTorr的压力、3000瓦的偏压、0瓦的源、9的NSTU、2.5/0的CSTUo以及15T-15T的He内-外压力下具有13sccm流速的C4F6、200sccm的N2以及200sccm的Ar,持续时间为40秒。
用于此示例的蚀刻的结果示出在图13中。如图所示,结构1300被蚀刻,以限定过孔1360。结构1300包括阻挡层1310、位于阻挡层1310上方的ILD层1320、位于ILD层1320上方的覆盖层1330、位于覆盖层1330上方的ARC层1340以及位于ARC层1340的顶上的PR层1350。
在此示例中,对于含H2处理来说,光刻胶选择性是无穷大。该选择性大于从其它处理得到的选择性,其中典型的光刻胶选择性为大约3-5。
如图所示,得到的过孔1360的轮廓具有竖直侧壁1362。示出的过孔1360在阻挡层1310处或附近具有平的底部或停止层1364。
示例8:
本发明实施例的附加示例包括在多步骤蚀刻处理中蚀刻过孔,其中电介质由减少氢的气体混合物来蚀刻。在此示例中,偏压功率是50%的2MHz偏压和50%的13.56MHz偏压的组合。该减小的氢流速是相对于这里的其它示例中所述的较高氢流速而言的。根据此示例得到的过孔示出在图14的剖视图中。
此示例的第一步骤是提供图12所示的结构。如图所示,结构1200具有以上详细描述的各个层和结构。此外,注意,在APPLIED DFBENABLER ETCH工具中对300mm晶片进行蚀刻。
这些蚀刻步骤中的第一个是ARC打开和TEOS覆盖层打开,其中ARC和覆盖层被蚀刻穿过以允许稍后蚀刻电介质层。在ARC打开蚀刻中,在300mTorr的压力下,气体混合物包括150sccm流速的CF4、30sccm的CHF3。偏压为13.56MHz、2000瓦,而源为0瓦。APPLIEDDFB ENABLER ETCH工具使NSTU设定为1.35,CSTU内/外(i/o)设定为4/0,晶片/卡盘冷却氦(He)内/外(内-外)压力为10T-10T。蚀刻持续时间是30秒。
接下来,在20mTorr下以400sccm的Ar、13.56MHz、200瓦的偏压和150瓦的源进行过渡步骤。DFB ENABLER ETCH工具使NSTU设定为3,CSTU内/外(i/o)设定为4.7/0,晶片/卡盘冷却氦(He)内/外(内-外)压力为20T-10T。过渡的持续时间为5秒。
在打开的ARC和覆盖层的情况下,进行主蚀刻,以蚀刻电介质。在此示例中,主蚀刻被划分为两个部分:第一主蚀刻(ME1)和第二主蚀刻(ME2)。在15mTorr的压力下,在ME1中施加的气体混合物包括50sccm流速的H2、15sccm的C4F6、30sccm的CH2F2、150sccm的N2和400sccm的Ar。用于蚀刻而形成的等离子体具有2MHz、600瓦的RF偏压和13.56MHz、600瓦的RF偏压,源为150瓦。APPLIED DFB ENABLERETCH工具使NSTU设定为3,CSTU内/外(i/o)设定为4.7/0,晶片/卡盘冷却氦(He)内/外(内-外)压力为20T-10T。一旦形成等离子,蚀刻该结构30秒。
在15mTorr的压力下,在ME2中施加的气体混合物包括0sccm流速的H2、15sccm的C4F6、20sccm的CH2F2、200sccm的N2和200sccm的Ar。用于蚀刻而形成的等离子体具有2MHz、1500瓦的RF偏压和13.56MHz、1500瓦的RF偏压,源为150瓦。APPLIED DFB ENABLERETCH工具使NSTU设定为3,CSTU内/外(i/o)设定为4.7/0,晶片/卡盘冷却氦(He)内/外(内-外)压力为20T-10T。一旦形成等离子,蚀刻该结构15秒,其中在刚要到达阻挡层1210之前停止蚀刻。
接下来,为了蚀刻阻挡层1210,使用过蚀刻(OE)步骤。此示例的过蚀刻步骤包括在20mTorr的压力、2MHz、1500瓦的RF偏压以及13.56MHz、1500瓦的RF偏压、0瓦的源、NSTU为9、CSTUo/i为2.5/0以及He内-外为15T-15T下具有13sccm流速的C4F6、200sccm的N2以及200sccm的Ar,持续时间为45秒。
用于此示例的蚀刻的结果示出在图13中。如图所示,结构1400被蚀刻,以限定过孔1460。结构1400包括阻挡层1410、位于阻挡层1410上方的ILD层1420、位于ILD层1420上方的覆盖层1430、位于覆盖层1430上方的ARC层1440以及位于ARC层1440的顶上的PR层1450。
在此示例中,对于含H2处理来说,光刻胶选择性是无穷大。该选择性大于从其它处理得到的选择性,其中典型的光刻胶选择性为大约3-5。
如图14的示例结果所示,形成的过孔1460的轮廓具有竖直侧壁1462。示出的过孔1460在阻挡层1410处或附近具有平的底部或停止层1464。
附加的含氢气体:
在本发明的实施例中,氢添加剂用含氢添加剂(例如烃以及富氢气体)中的任何一种来代替。在一些实施例中,含氢气体包括CH4、C2H4、NH3、H2O和/或硅烷气体。
示例9:
在本发明实施例的另一个示例中,在多步骤蚀刻处理中蚀刻过孔,其中电介质由包含烃的气体混合物蚀刻。根据此示例得到的过孔示出在图15所示的剖视图中。
此示例类似于示例7,除了第一主蚀刻ME1,代替使用50sccm流速的H2和使用25sccm流速的CH4。此外,ME1的持续时间是30秒,从示例7的35秒减少了。此外,主蚀刻方法的其它部分、蚀刻的结构1200、BARC方法、以及过渡方法都与示例7相同。
用于此示例的蚀刻结构示出在图15中。如图所示,结构1500被蚀刻,以限定过孔1560。结构1500包括阻挡层1510、位于阻挡层1510上方的ILD层1520、位于ILD层1520上方的覆盖层1530、位于覆盖层1530上的ARC层1540以及位于ARC层1540的顶上的PR层1550。得到的过孔1560的轮廓具有竖直侧壁1562。示出的过孔1560在阻挡层1510处或附近具有平的底部或停止层1564。
本发明的实施例包括蚀刻特征,以形成双重镶嵌结构,其中至少一个实施例示出在图16中。如图所示,双镶嵌蚀刻方法1600包括提供蚀刻结构1610、用包含氢的气体混合物蚀刻过孔1620、剥离光刻胶1630、沉积ARC层1640、回蚀蚀刻结构1650、施加光刻胶以限定沟槽1660、以及用包含氢的气体混合物蚀刻沟槽1670。
在设置蚀刻结构1610的步骤中获得的结构可以包括这里所述的结构中的任意一种,在一些实施例中该结构包括衬垫、位于衬垫上方的阻挡层、位于阻挡层上方的ILD层、以及ILC上图案化的光刻胶,如图1A所示。用包含氢的气体混合物蚀刻过孔的步骤可以通过这里所述的过孔蚀刻中的任意一种来进行。气体混合物可以包括含无氢的碳氟化合物的蚀刻剂气体、含氢的非蚀刻剂气体、含氮气体,并且还可以进一步包括氢碳氟化合物气体、惰性气体和/或一氧化碳气体中的一种或多种。得到的结构的一个实施例示出在图1B中。剥离光刻胶1630可以通过任何已知的方法完成。沉积ARC层1640的步骤的ARC层可以根据实施例而包括这里所述的ARC材料中的任何材料,包括DUO、BARC和/或DARC材料,其可以通过这里所述的任何方法来施加,例如旋涂、CVD等。在一个实施例中,ARC的施加示出在图1C中。回蚀蚀刻结构1650可以由各种已知的方法中的任意一种来完成,其中一些ARC留在过孔中,以在之后的蚀刻中保护过孔。回蚀的结构的一个实施例示出在图1D中。施加光刻胶来限定沟槽1660的步骤也可以由已知的方法来完成,其中得到的结构的一个实施例示出在图1E中。用包含氢的气体混合物蚀刻沟槽的步骤1670可以由这里所述的沟槽蚀刻中的任何方法来进行,其中一个实施例中得到的结构示出在图1B中。气体混合物可以包括含无氢的碳氟化合物的蚀刻剂气体、含氢的非蚀刻剂气体、含氮气体,并且还可以包括氢碳氟化合物气体、惰性气体、和/或一氧化碳气体中的一种或多种。
尽管以上已经详细描述了本发明的一些实施例,但是在脱离本发明的真实范围和指导的情况下,可以对这些实施例进行许多变化。因此,本发明仅由以下的权利要求及其等同物来限定。
Claims (52)
1.一种蚀刻方法,包括:
a)在室中提供低k电介质材料;
b)施加气体混合物至室中,所述气体混合物包括第一气体、第二气体和第三气体,所述第一气体包括无氢的碳氟化合物,所述第二气体包括氢,所述第三气体包括氮;
c)用所述气体混合物形成等离子体;以及
d)蚀刻所述低k电介质材料。
2.如权利要求1所述的方法,其中,所述低k电介质材料包括具有小于大约4.0的介电常数的电介质材料。
3.如权利要求1所述的方法,其中,所述低k电介质材料包括具有大约3.1与大约2之间的介电常数的电介质材料。
4.如权利要求1所述的方法,其中,所述低k电介质材料包括具有大约2.2与大约2之间的介电常数的电介质材料。
5.如权利要求1所述的蚀刻方法,其中,所述低k电介质材料包括搀杂碳的硅氧化物。
6.如权利要求1所述的蚀刻方法,其中,所述第一气体是蚀刻剂气体,且所述第二气体是非蚀刻剂气体。
7.如权利要求6所述的蚀刻方法,其中,所述气体混合物中的非蚀刻剂气体与蚀刻剂气体的气体比例在大约0.6与大约5.0之间。
8.如权利要求6所述的蚀刻方法,其中,所述气体混合物中的非蚀刻剂气体与蚀刻剂气体的气体比例在大约1.0与大约2.5之间。
9.如权利要求1所述的蚀刻方法,其中,所述第一气体的所述无氢的碳氟化合物包括CxFy,其中x≥1,y≥1。
10.如权利要求1所述的蚀刻方法,其中,所述第一气体的所述无氢的碳氟化合物包括以下中的至少一种:(1)CF4;(2)C2F2;(3)C2F4;(4)C3F6;(5)C4F6;(6)C4F8;(7)C5F8;或(8)C6F6。
11.如权利要求1所述的蚀刻方法,其中,所述第二气体包括以下中的至少一种:(1)双原子氢;(2)烃;(3)硅烷;或(4)无氟的氢气。
12.如权利要求1所述的蚀刻方法,其中,所述第二气体包括以下中的至少一种:(1)H2;(2)CH4;(3)C2H4;(4)NH3;或(5)H2O。
13.如权利要求1所述的蚀刻方法,其中,所述第二气体包括H2。
14.如权利要求1所述的蚀刻方法,其中,所述第三气体包括N2。
15.如权利要求1所述的蚀刻方法,其中,所述气体混合物还包括氢碳氟化合物气体。
16.如权利要求15所述的蚀刻方法,其中,所述氢碳氟化合物气体还包括CxHyFz,其中x≥1,y≥1,z≥1。
17.如权利要求15所述的蚀刻方法,其中,所述氢碳氟化合物气体包含以下中的至少一种:(1)C2HF5;(2)CHF3;(3)CH2F2;(4)CH3F;(5)C3H2F6;(6)C3H2F4;(7)C3HF5;或(8)C3HF7。
18.如权利要求1所述的蚀刻方法,其中,施加气体混合物包括在大约5毫托至大约400毫托的压力下施加气体混合物。
19.如权利要求1所述的蚀刻方法,其中,施加气体混合物包括在大约5毫托至大约30毫托的压力下施加气体混合物。
20.如权利要求1所述的蚀刻方法,其中,形成等离子体还包括以至少两种偏压频率形成等离子体。
21.如权利要求1所述的蚀刻方法,其中,形成等离子体还包括以以下中的至少一种偏压频率形成等离子体:(1)大约2MHz;或(2)大约13.56MHz。
22.如权利要求1所述的蚀刻方法,其中,所述气体混合物包括无氧的气体混合物。
23.如权利要求1所述的蚀刻方法,其中,所述气体混合物还包括惰性气体。
24.如权利要求1所述的蚀刻方法,其中,所述气体混合物还包括一氧化碳气体。
25.一种在室中用于形成特征的等离子体蚀刻方法,所述等离子体蚀刻方法包括:
a)在室中提供具有小于大约3.7的介电常数的电介质材料;
b)向室中施加气体混合物,所述气体混合物包括含无氢的碳氟化合物的蚀刻剂气体、含氢的非蚀刻剂气体、含氮气体以及惰性气体;
c)用所述气体混合物形成等离子体;以及
d)蚀刻所述电介质材料,以形成至少一部分所述特征。
26.如权利要求25所述的等离子体蚀刻方法,其中,所述介电常数在大约3.1和大约2之间。
27.如权利要求25所述的等离子体蚀刻方法,其中,所述电介质材料包括搀杂碳的硅氧化物。
28.如权利要求25所述的等离子体蚀刻方法,其中,所述气体混合物中非蚀刻剂气体与蚀刻剂气体的气体比例在大约0.6与大约2.7之间。
29.如权利要求25所述的等离子体蚀刻方法,其中,所述气体混合物还包括含氢碳氟化合物的蚀刻剂气体。
30.如权利要求29所述的等离子体蚀刻方法,其中,所述气体混合物中非蚀刻剂气体与蚀刻剂气体的气体比例在大约0.55与大约2.1之间。
31.如权利要求29所述的等离子体蚀刻方法,其中,所述气体混合物中非蚀刻剂气体与蚀刻剂气体的气体比例大约为1.1。
32.如权利要求25所述的等离子体蚀刻方法,其中,所述含无氢的碳氟化合物的蚀刻剂气体是以下中的至少一种:(1):CF4;(2)C2F2;(3)C2F4;(4)C3F6;(5)C4F6;(6)C4F8;(7)C5F8;或(8)C6F6。
33.如权利要求29所述的等离子体蚀刻方法,其中,所述含氢碳氟化合物的蚀刻剂气体是以下中的至少一种:(1)C2HF5;(2)CHF3;(3)CH2F2;(4)CH3F;(5)C3H2F6;(6)C3H2F4;(7)C3HF5;或(8)C3HF7。
34.如权利要求25所述的等离子体蚀刻方法,其中,所述含氢的非蚀刻剂气体是以下中的至少一种:(1)H2;(2)CH4;(3)C2H4;(4)NH3;或(5)H2O。
35.如权利要求25所述的等离子体蚀刻方法,其中,所述含氮气体是N2。
36.如权利要求25所述的等离子体蚀刻方法,其中,所述惰性气体包含以下中的至少一种:(1)He;(2)Ne;(3)Kr;(4)Xe;或(5)Ar。
37.如权利要求25所述的等离子体蚀刻方法,其中,向所述室中施加气体混合物还包括在大约5毫托至大约30毫托的压力下施加气体混合物至所述室中。
38.如权利要求25所述的等离子体蚀刻方法,其中,形成等离子体还包括以以下中的至少一种偏压频率形成等离子体:(1)大约2MHz;(2)大约13.56MHz;或(3)大约162MHz。
39.如权利要求25所述的等离子体蚀刻方法,其中,所述气体混合物包括无氧的气体混合物。
40.如权利要求25所述的等离子体蚀刻方法,其中,所述含氢的非蚀刻剂气体具有大约10标准立方厘米每分钟至大约250标准立方厘米每分钟的流速。
41.如权利要求25所述的等离子体蚀刻方法,其中,所述含氢的非蚀刻性气体具有大约10标准立方厘米每分钟至大约75标准立方厘米每分钟的流速。
42.如权利要求25所述的等离子体蚀刻方法,其中,所述含无氢的碳氟化合物的蚀刻剂气体具有大约20标准立方厘米每分钟至大约200标准立方厘米每分钟的流速。
43.如权利要求29所述的等离子体蚀刻方法,其中,所述含氢碳氟化合物的蚀刻剂气体具有大约20标准立方厘米每分钟至大约200标准立方厘米每分钟的流速。
44.如权利要求29所述的等离子体蚀刻方法,其中,所述含无氢的碳氟化合物的蚀刻剂气体和含氢碳氟化合物的蚀剂性气体具有大约10标准立方厘米每分钟至大约200标准立方厘米每分钟的组合的流速。
45.如权利要求25所述的等离子体蚀刻方法,其中,所述含氮气体具有大约0标准立方厘米每分钟至大约200标准立方厘米每分钟的流速。
46.如权利要求25所述的等离子体蚀刻方法,其中,所述惰性气体具有大约0标准立方厘米每分钟至大约800标准立方厘米每分钟的流速。
47.如权利要求25所述的等离子体蚀刻方法,其中,形成等离子体包括用大约0瓦至大约2000瓦的源功率形成等离子体。
48.如权利要求25所述的等离子体蚀刻方法,其中,形成等离子体包括用大约0瓦至大约200瓦的源功率形成等离子体。
49.如权利要求25所述的等离子体蚀刻方法,其中,形成等离子体包括用大约300瓦至大约3000瓦的偏压功率形成等离子体。
50.如权利要求25所述的等离子体蚀刻方法,其中,形成等离子体包括形成具有大约5×109电子/立方厘米至大约5×1011电子/立方厘米的电子密度的等离子体。
51.如权利要求25所述的等离子体蚀刻方法,其中,形成等离子体包括形成具有大于大约5×1010电子/立方厘米的电子密度等离子体。
52.一种镶嵌蚀刻方法,包括:
a)设置半导体晶片,所述半导体晶片具有低k电介质层和位于所述低k电介质层上方的第一图案化光刻胶层;
b)施加第一气体混合物,所述第一气体混合物包括含无氢的碳氟化合物的蚀刻剂气体、含氢的非蚀刻剂气体、含氮的气体和惰性气体;
c)蚀刻所述低k电介质层,以在所述半导体晶片中形成第一特征;
d)去除所述第一光刻胶层;
e)在所述半导体晶片上沉积抗反涂层;
f)蚀刻所述抗反射涂层;
g)在所述半导体晶片上施加用于限定第二特征的第二图案化光刻胶层;
h)施加第二气体混合物,所述第二气体混合物包括含无氢的碳氟化合物的蚀刻剂气体、含氢的非蚀刻剂气体、含氮气体、和惰性气体;以及
i)蚀刻所述低k电介质层和所述抗反射涂层,以在所述半导体晶片中形成第二特征。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US57052404P | 2004-05-11 | 2004-05-11 | |
US60/570,524 | 2004-05-11 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN101124661A true CN101124661A (zh) | 2008-02-13 |
Family
ID=35394815
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNA200580015078XA Pending CN101124661A (zh) | 2004-05-11 | 2005-05-09 | 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20050266691A1 (zh) |
JP (1) | JP2007537602A (zh) |
KR (1) | KR20070009729A (zh) |
CN (1) | CN101124661A (zh) |
TW (1) | TWI279861B (zh) |
WO (1) | WO2005112092A2 (zh) |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101983417A (zh) * | 2008-03-31 | 2011-03-02 | 日本瑞翁株式会社 | 等离子体蚀刻方法 |
CN102187439A (zh) * | 2008-10-20 | 2011-09-14 | 东京毅力科创株式会社 | 等离子体蚀刻方法及等离子体蚀刻装置 |
CN101866846B (zh) * | 2009-04-14 | 2012-04-18 | 中芯国际集成电路制造(北京)有限公司 | 刻蚀沟槽的方法 |
CN101645408B (zh) * | 2008-08-04 | 2012-05-16 | 中芯国际集成电路制造(北京)有限公司 | 焊盘及其形成方法 |
CN101764059B (zh) * | 2008-12-25 | 2012-05-23 | 中芯国际集成电路制造(上海)有限公司 | 双镶嵌结构的形成方法及沟槽形成方法 |
CN102832118A (zh) * | 2012-09-11 | 2012-12-19 | 上海华力微电子有限公司 | 双大马士革结构中底部抗反射涂层的刻蚀方法 |
CN102031525B (zh) * | 2009-09-29 | 2014-02-12 | 中微半导体设备(上海)有限公司 | 一种深硅通孔的刻蚀方法 |
CN103824767A (zh) * | 2012-11-16 | 2014-05-28 | 中微半导体设备(上海)有限公司 | 一种深硅通孔的刻蚀方法 |
CN104008994A (zh) * | 2009-01-26 | 2014-08-27 | 台湾积体电路制造股份有限公司 | 半导体装置的制造方法 |
CN101928941B (zh) * | 2009-06-23 | 2014-09-03 | 中微半导体设备(上海)有限公司 | 一种用于刻蚀硅的反应离子刻蚀方法 |
CN101667609B (zh) * | 2008-08-29 | 2015-07-22 | 气体产品与化学公司 | 二氧化硅组合物的选择性蚀刻 |
CN107039229A (zh) * | 2015-12-22 | 2017-08-11 | 东京毅力科创株式会社 | 蚀刻方法 |
CN109390229A (zh) * | 2017-08-14 | 2019-02-26 | 东京毅力科创株式会社 | 等离子体处理方法和等离子体处理装置 |
Families Citing this family (211)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7547635B2 (en) * | 2002-06-14 | 2009-06-16 | Lam Research Corporation | Process for etching dielectric films with improved resist and/or etch profile characteristics |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20060011578A1 (en) * | 2004-07-16 | 2006-01-19 | Lam Research Corporation | Low-k dielectric etch |
US20060032833A1 (en) * | 2004-08-10 | 2006-02-16 | Applied Materials, Inc. | Encapsulation of post-etch halogenic residue |
US7352064B2 (en) * | 2004-11-04 | 2008-04-01 | International Business Machines Corporation | Multiple layer resist scheme implementing etch recipe particular to each layer |
US20060148243A1 (en) * | 2004-12-30 | 2006-07-06 | Jeng-Ho Wang | Method for fabricating a dual damascene and polymer removal |
US7253123B2 (en) * | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
JP5186086B2 (ja) * | 2005-04-11 | 2013-04-17 | アイメック | デュアル・ダマシン・パターニング・アプローチ |
KR100698094B1 (ko) * | 2005-07-27 | 2007-03-23 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속배선 형성방법 |
CN100559286C (zh) * | 2005-09-29 | 2009-11-11 | 中芯国际集成电路制造(上海)有限公司 | 去除光刻膜的技术 |
JP2007158018A (ja) * | 2005-12-05 | 2007-06-21 | Renesas Technology Corp | 半導体装置の製造方法 |
US7828987B2 (en) * | 2006-03-20 | 2010-11-09 | Applied Materials, Inc. | Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits |
US7977245B2 (en) * | 2006-03-22 | 2011-07-12 | Applied Materials, Inc. | Methods for etching a dielectric barrier layer with high selectivity |
US20070224827A1 (en) * | 2006-03-22 | 2007-09-27 | Ying Xiao | Methods for etching a bottom anti-reflective coating layer in dual damascene application |
US7838428B2 (en) * | 2006-03-23 | 2010-11-23 | International Business Machines Corporation | Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species |
US7816253B2 (en) * | 2006-03-23 | 2010-10-19 | International Business Machines Corporation | Surface treatment of inter-layer dielectric |
US20070238254A1 (en) * | 2006-03-28 | 2007-10-11 | Applied Materials, Inc. | Method of etching low dielectric constant films |
US8399349B2 (en) | 2006-04-18 | 2013-03-19 | Air Products And Chemicals, Inc. | Materials and methods of forming controlled void |
US20070269975A1 (en) * | 2006-05-18 | 2007-11-22 | Savas Stephen E | System and method for removal of photoresist and stop layer following contact dielectric etch |
TWI437633B (zh) * | 2006-05-24 | 2014-05-11 | Ulvac Inc | Dry etching method for interlayer insulating film |
US7618889B2 (en) * | 2006-07-18 | 2009-11-17 | Applied Materials, Inc. | Dual damascene fabrication with low k materials |
US20080102640A1 (en) * | 2006-10-30 | 2008-05-01 | Applied Materials, Inc. | Etching oxide with high selectivity to titanium nitride |
US7858476B2 (en) * | 2006-10-30 | 2010-12-28 | Hynix Semiconductor Inc. | Method for fabricating semiconductor device with recess gate |
US7718543B2 (en) | 2006-12-08 | 2010-05-18 | Applied Materials, Inc. | Two step etching of a bottom anti-reflective coating layer in dual damascene application |
KR100802226B1 (ko) * | 2006-12-21 | 2008-02-11 | 주식회사 하이닉스반도체 | 듀얼 다마신 패턴 형성 방법 |
US7682986B2 (en) * | 2007-02-05 | 2010-03-23 | Lam Research Corporation | Ultra-high aspect ratio dielectric etch |
US7547636B2 (en) * | 2007-02-05 | 2009-06-16 | Lam Research Corporation | Pulsed ultra-high aspect ratio dielectric etch |
CN101330039B (zh) * | 2007-06-18 | 2010-06-09 | 中芯国际集成电路制造(上海)有限公司 | 利用通孔塞消除负载效应的方法 |
JP5065787B2 (ja) * | 2007-07-27 | 2012-11-07 | 東京エレクトロン株式会社 | プラズマエッチング方法、プラズマエッチング装置、および記憶媒体 |
US20090117745A1 (en) * | 2007-11-02 | 2009-05-07 | Li Siyi | Methods for selectively etching a barrier layer in dual damascene applications |
US20100003828A1 (en) * | 2007-11-28 | 2010-01-07 | Guowen Ding | Methods for adjusting critical dimension uniformity in an etch process with a highly concentrated unsaturated hydrocarbon gas |
US8809195B2 (en) * | 2008-10-20 | 2014-08-19 | Asm America, Inc. | Etching high-k materials |
US8227336B2 (en) * | 2009-01-20 | 2012-07-24 | International Business Machines Corporation | Structure with self aligned resist layer on an interconnect surface and method of making same |
US20100216310A1 (en) * | 2009-02-20 | 2010-08-26 | Tokyo Electron Limited | Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink |
US8252192B2 (en) * | 2009-03-26 | 2012-08-28 | Tokyo Electron Limited | Method of pattern etching a dielectric film while removing a mask layer |
US20110073136A1 (en) * | 2009-09-10 | 2011-03-31 | Matheson Tri-Gas, Inc. | Removal of gallium and gallium containing materials |
US8475674B2 (en) * | 2010-04-30 | 2013-07-02 | Applied Materials, Inc. | High-temperature selective dry etch having reduced post-etch solid residue |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8435901B2 (en) * | 2010-06-11 | 2013-05-07 | Tokyo Electron Limited | Method of selectively etching an insulation stack for a metal interconnect |
JP5434970B2 (ja) * | 2010-07-12 | 2014-03-05 | セントラル硝子株式会社 | ドライエッチング剤 |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US9318341B2 (en) * | 2010-12-20 | 2016-04-19 | Applied Materials, Inc. | Methods for etching a substrate |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
WO2012129122A1 (en) * | 2011-03-18 | 2012-09-27 | Tokyo Electron Limited | Method for controlling dangling bonds in fluorocarbon films |
JP2013030531A (ja) | 2011-07-27 | 2013-02-07 | Central Glass Co Ltd | ドライエッチング剤 |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8828744B2 (en) * | 2012-09-24 | 2014-09-09 | Lam Research Corporation | Method for etching with controlled wiggling |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US8901007B2 (en) * | 2013-01-03 | 2014-12-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Addition of carboxyl groups plasma during etching for interconnect reliability enhancement |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
JP6308727B2 (ja) | 2013-06-13 | 2018-04-11 | キヤノン株式会社 | 電子デバイスの製造方法 |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9159561B2 (en) | 2013-12-26 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning |
US20150200042A1 (en) * | 2014-01-10 | 2015-07-16 | Applied Materials, Inc. | Recessing ultra-low k dielectric using remote plasma source |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
JP6357891B2 (ja) * | 2014-06-06 | 2018-07-18 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
TWI658509B (zh) | 2014-06-18 | 2019-05-01 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | 用於tsv/mems/功率元件蝕刻的化學物質 |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9728422B2 (en) | 2015-01-23 | 2017-08-08 | Central Glass Company, Limited | Dry etching method |
JP6544215B2 (ja) | 2015-01-23 | 2019-07-17 | セントラル硝子株式会社 | ドライエッチング方法 |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9576816B2 (en) * | 2015-02-13 | 2017-02-21 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen |
US9530667B2 (en) * | 2015-02-13 | 2016-12-27 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch using carbon |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
JP2016178222A (ja) | 2015-03-20 | 2016-10-06 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
JP2016178223A (ja) * | 2015-03-20 | 2016-10-06 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
JP6568822B2 (ja) * | 2016-05-16 | 2019-08-28 | 東京エレクトロン株式会社 | エッチング方法 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
JP6621882B2 (ja) * | 2018-08-08 | 2019-12-18 | 東京エレクトロン株式会社 | エッチング装置 |
JP7173799B2 (ja) * | 2018-09-11 | 2022-11-16 | キオクシア株式会社 | 半導体装置の製造方法およびエッチングガス |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US10593518B1 (en) * | 2019-02-08 | 2020-03-17 | Applied Materials, Inc. | Methods and apparatus for etching semiconductor structures |
JP7403314B2 (ja) * | 2019-12-26 | 2023-12-22 | 東京エレクトロン株式会社 | エッチング方法及びエッチング装置 |
US11289375B2 (en) | 2020-03-23 | 2022-03-29 | International Business Machines Corporation | Fully aligned interconnects with selective area deposition |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4283249A (en) * | 1979-05-02 | 1981-08-11 | International Business Machines Corporation | Reactive ion etching |
US6444137B1 (en) * | 1990-07-31 | 2002-09-03 | Applied Materials, Inc. | Method for processing substrates using gaseous silicon scavenger |
JPH11111680A (ja) * | 1997-09-30 | 1999-04-23 | Yasuhiro Horiike | エッチング方法 |
JP3193335B2 (ja) * | 1997-12-12 | 2001-07-30 | 松下電器産業株式会社 | 半導体装置の製造方法 |
US6319815B1 (en) * | 1998-10-21 | 2001-11-20 | Tokyo Ohka Kogyo Co., Ltd. | Electric wiring forming method with use of embedding material |
US6217786B1 (en) * | 1998-12-31 | 2001-04-17 | Lam Research Corporation | Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry |
JP4388645B2 (ja) * | 1999-10-19 | 2009-12-24 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
US6841483B2 (en) * | 2001-02-12 | 2005-01-11 | Lam Research Corporation | Unique process chemistry for etching organic low-k materials |
US7547635B2 (en) * | 2002-06-14 | 2009-06-16 | Lam Research Corporation | Process for etching dielectric films with improved resist and/or etch profile characteristics |
JP2004071774A (ja) * | 2002-08-05 | 2004-03-04 | Tokyo Electron Ltd | マルチチャンバシステムを用いたプラズマ処理方法 |
US6791274B1 (en) * | 2003-07-15 | 2004-09-14 | Advanced Energy Industries, Inc. | RF power control device for RF plasma applications |
-
2005
- 2005-05-09 WO PCT/US2005/016355 patent/WO2005112092A2/en active Application Filing
- 2005-05-09 CN CNA200580015078XA patent/CN101124661A/zh active Pending
- 2005-05-09 US US11/126,053 patent/US20050266691A1/en not_active Abandoned
- 2005-05-09 KR KR1020067025402A patent/KR20070009729A/ko not_active Application Discontinuation
- 2005-05-09 JP JP2007513281A patent/JP2007537602A/ja active Pending
- 2005-05-10 TW TW094115002A patent/TWI279861B/zh not_active IP Right Cessation
Cited By (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101983417A (zh) * | 2008-03-31 | 2011-03-02 | 日本瑞翁株式会社 | 等离子体蚀刻方法 |
CN101645408B (zh) * | 2008-08-04 | 2012-05-16 | 中芯国际集成电路制造(北京)有限公司 | 焊盘及其形成方法 |
CN101667609B (zh) * | 2008-08-29 | 2015-07-22 | 气体产品与化学公司 | 二氧化硅组合物的选择性蚀刻 |
CN102187439A (zh) * | 2008-10-20 | 2011-09-14 | 东京毅力科创株式会社 | 等离子体蚀刻方法及等离子体蚀刻装置 |
CN101764059B (zh) * | 2008-12-25 | 2012-05-23 | 中芯国际集成电路制造(上海)有限公司 | 双镶嵌结构的形成方法及沟槽形成方法 |
CN104008994A (zh) * | 2009-01-26 | 2014-08-27 | 台湾积体电路制造股份有限公司 | 半导体装置的制造方法 |
CN101866846B (zh) * | 2009-04-14 | 2012-04-18 | 中芯国际集成电路制造(北京)有限公司 | 刻蚀沟槽的方法 |
CN101928941B (zh) * | 2009-06-23 | 2014-09-03 | 中微半导体设备(上海)有限公司 | 一种用于刻蚀硅的反应离子刻蚀方法 |
CN102031525B (zh) * | 2009-09-29 | 2014-02-12 | 中微半导体设备(上海)有限公司 | 一种深硅通孔的刻蚀方法 |
CN102832118A (zh) * | 2012-09-11 | 2012-12-19 | 上海华力微电子有限公司 | 双大马士革结构中底部抗反射涂层的刻蚀方法 |
CN102832118B (zh) * | 2012-09-11 | 2015-02-18 | 上海华力微电子有限公司 | 双大马士革结构中底部抗反射涂层的刻蚀方法 |
CN103824767A (zh) * | 2012-11-16 | 2014-05-28 | 中微半导体设备(上海)有限公司 | 一种深硅通孔的刻蚀方法 |
CN107039229A (zh) * | 2015-12-22 | 2017-08-11 | 东京毅力科创株式会社 | 蚀刻方法 |
CN107039229B (zh) * | 2015-12-22 | 2019-08-20 | 东京毅力科创株式会社 | 蚀刻方法 |
US10410877B2 (en) | 2015-12-22 | 2019-09-10 | Tokyo Electron Limited | Etching method |
CN109390229A (zh) * | 2017-08-14 | 2019-02-26 | 东京毅力科创株式会社 | 等离子体处理方法和等离子体处理装置 |
CN109390229B (zh) * | 2017-08-14 | 2023-07-11 | 东京毅力科创株式会社 | 等离子体处理方法和等离子体处理装置 |
Also Published As
Publication number | Publication date |
---|---|
TW200601459A (en) | 2006-01-01 |
WO2005112092A3 (en) | 2007-05-18 |
US20050266691A1 (en) | 2005-12-01 |
TWI279861B (en) | 2007-04-21 |
WO2005112092A2 (en) | 2005-11-24 |
JP2007537602A (ja) | 2007-12-20 |
KR20070009729A (ko) | 2007-01-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101124661A (zh) | 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻 | |
CN100423208C (zh) | 等离子体蚀刻方法和蚀刻工具以及蚀刻构件的方法 | |
CN100365777C (zh) | 具有改进型抗蚀剂及/或蚀刻轮廓特征的介电膜用蚀刻方法 | |
JP5265100B2 (ja) | 炭素系ハードマスクを開く方法 | |
US7265056B2 (en) | Method for forming novel BARC open for precision critical dimension control | |
US6670278B2 (en) | Method of plasma etching of silicon carbide | |
US6380096B2 (en) | In-situ integrated oxide etch process particularly useful for copper dual damascene | |
US7169695B2 (en) | Method for forming a dual damascene structure | |
US6284149B1 (en) | High-density plasma etching of carbon-based low-k materials in a integrated circuit | |
US6613689B2 (en) | Magnetically enhanced plasma oxide etch using hexafluorobutadiene | |
US6602434B1 (en) | Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window | |
KR101476435B1 (ko) | 다중-레이어 레지스트 플라즈마 에치 방법 | |
US6849193B2 (en) | Highly selective process for etching oxide over nitride using hexafluorobutadiene | |
JP4825911B2 (ja) | 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス | |
WO2000030168A1 (en) | Process for etching oxide using hexafluorobutadiene or related hydroflourocarbons and manifesting a wide process window | |
US20110065280A1 (en) | Mask pattern forming method and semiconductor device manufacturing method | |
KR101075045B1 (ko) | 플라즈마 에칭 성능 강화를 위한 방법 | |
JP2005045053A (ja) | 半導体装置の製造方法 | |
US6897154B2 (en) | Selective etching of low-k dielectrics | |
JPWO2007135906A1 (ja) | 層間絶縁膜のドライエッチング方法 | |
US6803307B1 (en) | Method of avoiding enlargement of top critical dimension in contact holes using spacers | |
US6828250B1 (en) | Process for etching vias in organosilicate glass materials without causing RIE lag | |
JP2006032721A (ja) | 半導体装置の製造方法 | |
JP2004071731A (ja) | エッチング方法 | |
KR100317310B1 (ko) | 반도체소자의콘택홀형성방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C02 | Deemed withdrawal of patent application after publication (patent law 2001) | ||
WD01 | Invention patent application deemed withdrawn after publication |