CN109390229A - 等离子体处理方法和等离子体处理装置 - Google Patents

等离子体处理方法和等离子体处理装置 Download PDF

Info

Publication number
CN109390229A
CN109390229A CN201810921324.7A CN201810921324A CN109390229A CN 109390229 A CN109390229 A CN 109390229A CN 201810921324 A CN201810921324 A CN 201810921324A CN 109390229 A CN109390229 A CN 109390229A
Authority
CN
China
Prior art keywords
gas
layer
flow
plasma processing
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810921324.7A
Other languages
English (en)
Other versions
CN109390229B (zh
Inventor
江藤隆纪
泽田石真之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN109390229A publication Critical patent/CN109390229A/zh
Application granted granted Critical
Publication of CN109390229B publication Critical patent/CN109390229B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及等离子体处理方法和等离子体处理装置。课题在于实现金属层选择比和掩模选择比的兼顾。技术方案为:一种等离子体处理装置,对配置有多层膜的处理容器内供给至少含有氟碳系气体或氢氟碳系气体、氧气、氮气和CO的处理气体,在供给了处理气体的处理容器内产生等离子体,对多层膜进行蚀刻,其中,该多层膜至少具有氧化层、比该氧化层的上表面在叠层方向上配置于下方的导电层和配置于上述氧化层的上表面的掩模层。

Description

等离子体处理方法和等离子体处理装置
技术领域
本发明的各种侧面和实施方式涉及等离子体处理方法和等离子体处理装置。
背景技术
以往,已知对具有氧化层、配置于氧化层下方的金属层等的导电层和配置于氧化层上表面的掩模层的多层膜进行蚀刻的等离子体处理方法(例如,参照专利文献1)。这样的多层膜为了应对下一代的设备,增加了叠层。例如,具有三维结构的多层膜的NAND型闪存中,增加了叠层。与此相伴,蚀刻的孔的纵横比也变高。
现有技术文献
专利文献
专利文献1:日本特开2014-90022号公报
发明内容
发明要解决的技术问题
在纵横比高的孔和槽的等离子体蚀刻中,随着蚀刻进行而发生深度加载(DepthLoading)、即、在孔和槽的底部蚀刻不进行的现象,可以预测蚀刻时间大幅增加。因此,在等离子体蚀刻,要求兼顾导电层选择比和掩模选择比。
但是,为了提高金属选择比,期望通过利用等离子体使作为蚀刻气体的氟碳系气体高解离,向孔底部供给充分的聚合物并形成保护膜,但是,同时也促进从氟碳系气体向形成掩模的蚀刻剂的氟自由基的解离,因此,可以说导电层选择比和掩模选择比存在此消彼长的关系。用于解决技术问题的技术方案
公开的等离子体处理方法中,对配置有多层膜的处理容器内供给至少含有氟碳系气体或氢氟碳系气体、氧气、氮气和CO的处理气体,在供给了处理气体的处理容器内产生等离子体,对多层膜进行蚀刻,其中,该多层膜至少具有氧化层、比该氧化层的上表面在叠层方向上配置于下方的导电层和配置于上述氧化层的上表面的掩模层。
发明的效果
根据公开的等离子体处理方法的一个方式,发挥能够实现导电层选择比和掩模选择比的兼顾这样的效果。
附图说明
图1是表示一个实施方式所涉及的等离子体处理装置的图。
图2是NAND型闪存的概略图。
图3是形成金属接触(metal contact)的部位的概略截面图。
图4是表示多层膜的一例的图。
图5是示意表示孔形状的图。
图6是表示ACL选择比和钨选择比的测定结果的一例的表。
图7是表示ACL选择比的变化的一例的图。
图8是表示钨选择比的变化的一例的图。
图9是示意表示孔形状的图。
图10是表示钨选择比和ACL选择比的变化的一例的图。
符号说明
10 等离子体处理装置
12 处理容器
16 基台
30 上部电极
34a 气体排出孔
36a 气体扩散室
36b 气体流通孔
38 气体供给管
40a~40e 气体源
42a~42e 阀
44a~44e MFC
60 直流电源
62 第一高频电源
64 第二高频电源
102 绝缘层
104 层间绝缘层
200a~200d 多层配线层
300 多层膜
301 基板
302 金属层
303 绝缘层(氧化层)
304 ACL
W 被处理体
具体实施方式
以下,参照附图,对本申请所公开的等离子体处理方法和等离子体处理装置的实施方式进行详细说明。此外,在各附图中,对相同或相当的部分标注相同的符号。另外,所公开的发明不受本实施方式的限定。各实施方式能够在不使处理内容相矛盾的范围内适当组合。各附图中,对相同或相当的部分使用相同的符号。
图1是表示一个实施方式所涉及的等离子体处理装置的图。图1所示的等离子体处理装置10为电容耦合型平行平板等离子体蚀刻装置,具有大致圆筒状的处理容器12。关于处理容器12,例如其表面由经阳极氧化处理的铝构成。该处理容器12被安全接地。
在处理容器12的底部上,配置有由绝缘材料构成的圆筒上的支撑部14。该支撑部14例如支撑由铝这样的金属构成的基台16。该基台16设置于处理容器12内,在一个实施方式中,构成下部电极。
在基台16的上表面,设置有静电卡盘18。静电卡盘18与基台16一起构成一个实施方式的载置台。静电卡盘18具有将作为导电膜的电极20配置于一对绝缘层或绝缘片间的结构。直流电源22与电极20电连接。该静电卡盘18能够通过由来自直流电源22的直流电压产生的库仑力等的静电力吸附保持被处理体(工件)X。
在基台16的上表面且静电卡盘18的周围配置聚焦环FR。聚焦环FR为了提高蚀刻的均匀性而设置。聚焦环FR由根据被蚀刻层的材料适当选择的材料构成,例如,可以由硅或石英构成。
在基台16的内部设置有冷媒室24。在冷媒室24,从设置于外部的冷却单元经由配管26a、26b循环供给规定温度的冷媒、例如冷却水。通过控制这样循环的冷媒的温度,可以控制载置于静电卡盘18上的被处理体X的温度。
另外,在等离子体处理装置10设置气体供给管线28。气体供给管线28将来自传热气体供给构件的传热气体、例如He气体向静电卡盘18的上表面和被处理体X的背面之间供给。
另外,在处理容器12内,设置有上部电极30。该上部电极30在基台16的上方与该基台16相对配置,基台16与上部电极30相互大致平行地设置。这些上部电极30与作为下部电极发挥作用的基台16之间,作为用于对被处理体X进行等离子体蚀刻的处理空间S发挥作用。
上部电极30经由绝缘性遮蔽部件32支撑于处理容器12的上部。上部电极30可以包括电极板34和电极支撑体36。电极板34面向处理空间S,形成有多个气体排出孔34a。该电极板34可以由焦耳热小的低电阻的导电体或半导体构成。
电极支撑体36装卸自由地支撑电极板34,例如可以由铝这样的导电性材料构成。该电极支撑体36可以具有水冷结构。在电极支撑体36的内部设置有气体扩散室36a。从该气体扩散室36a,向下方延伸有与气体排出孔34a连通的多个气体流通孔36b。另外,在电极支撑体36形成有对气体扩散室36a导入处理气体的气体导入口36c,在该气体导入口36c连接气体供给管38。
在气体供给管38,经由阀42a~42e和质量流量控制器(MFC)44a~44e连接有气体源40a~40e。此外,也可以代替MFC设置FCS。气体源40a是含有氟碳系气体或氢氟碳系气体的处理气体的气体源。作为氟碳系气体,例如,可以列举如C4F6、C3F6、C4F8、C5F8、C6F6这样的CxFy系的气体。作为氢氟碳系气体,例如,可以列举如CH2F2、CHF3、CH3F气体这样的CHxFy系的气体。气体源40b是例如含有Ar气体这样的稀有气体的处理气体的气体源。气体源40c是例如含有氧气的处理气体的气体源。气体源40d是例如含有氮气的处理气体的气体源。气体源40e是例如含有一氧化碳(CO)的处理气体的气体源。来自这些气体源40a~40e的处理气体从气体供给管38到达气体扩散室36a,经由气体流通孔36b和气体排出孔34a向处理空间S排出。气体源40a~40e、阀42a~42e、MFC44a~44e、气体供给管38以及画区形成气体扩散室36a、气体流通孔36和气体排出孔34a的上部电极30等构成一个实施方式中的供给部。
另外,等离子体处理装置10可以还具有接地导体12a。接地导体12a为大致圆筒状的接地导体,以从处理容器12的侧壁延伸至比上部电极30的高度位置更靠上方的方式设置。
另外,等离子体处理装置10中,沿着处理容器12的内壁装卸自由地设置沉积防护罩46。另外,沉积防护罩46也设置于支撑部14的外周。沉积防护罩46防止在处理容器12附着蚀刻的副产物(沉积),能够通过在铝材包覆Y2O3等的陶瓷来构成。
在处理容器12的底部侧,在支撑部14与处理容器12的内壁之间设置有排气板48。排气板48例如能够通过在铝材包覆Y2O3等的陶瓷来构成。在该排气板48的下方,在处理容器12设置排气口12e。在排气口12e,经由排气管52连接有排气装置50。排气装置50具有涡轮分子泵等的真空泵,能够将处理容器12内减压至所期望的真空度。排气装置50使处理容器12内维持例如0.lmTorr(0.01Pa)以下的真空度。另外,在处理容器12的侧壁设置有被处理体X的搬入搬出口12g,该搬入搬出口12g能够通过门阀54来开闭。
另外,在处理容器12的内壁,设置有导电性部件(GND块)56。导电性部件56以在高度方向位于与被处理体X大致相同高度的方式安装于处理容器12的内壁。该导电性部件56DC连接地接地,发挥防止异常放电的效果。此外,导电性部件56设置于等离子体生成区域即可,其设置位置不限于图1所示的位置。例如,导电性部件56可以设置于基台16的周围等、设置于基台16侧,或者以环状设置于上部电极30的外侧等、设置于上部电极30的附近。
在一个实施方式中,等离子体处理装置10还具有对构成下部电极的基台16供给高频电力的供电棒58。供电棒58构成一个实施方式所涉及的供电线。供电棒58具有同轴双重管结构,包括棒状导电部件58a和筒状导电部件58b。棒状导电部件58a从处理容器12外通过处理容器12的底部在大致铅直方向延伸至处理容器12内,该棒状导电部件58a的上端与基台16连接。另外,筒状导电部件58b以包围棒状导电部件58a的周围的方式与该棒状导电部件58a同轴地设置,支撑于处理容器12的底部。在这些棒状导电部件58a和筒状导电部件58b之间,存在有大致环状的2个绝缘部件58c,使棒状导电部件58a与筒状导电部件58b电绝缘。
另外,在一个实施方式中,等离子体处理装置10可以还具有匹配器70、71。匹配器70、71与棒状导电部件58a和筒状导电部件58b的下端连接。在该匹配器70、71,分别连接有第一高频电源62和第二高频电源64。第一高频电源62是产生用于生成等离子体的第一高频(RF:Radio Frequency)电力的电源,产生27~100MHz的频率,在一例中,产生40MHz的高频电力。另外,第一高频电力在一例中为1000~3000W。第二高频电源64对基台16施加高频偏置电压,产生用于对被处理体X引入离子的第二高频电力。第二高频电力的频率为400kHz~13.56MHz的范围内的频率,在一例中为3MHz。另外,第二高频电力在一例中为3000~8000W。在上部电极30经由未图示的低通滤波器与直流电源60连接。直流电源60对上部电极30输出负的直流电压。通过上述构成,对构成下部电极的基台16供给两个不同的高频电力,可以对上部电极30施加直流电压。上部电极30、基台16、第一高频电源62、第二高频电源64和直流电源60等构成一个实施方式中的等离子体产生部。
另外,在一个实施方式中,等离子体处理装置10可以还具有控制部Cnt。该控制部Cnt是具有处理器、存储部、输入装置、显示装置等的计算机,控制等离子体处理装置10的各部分、例如电源体系、气体供给体系、驱动体系等。在该控制部Cnt,能够使用输入装置,由操作员进行输入用于管理等离子体处理装置10的指令的输入操作等,另外,通过显示装置,能够使等离子体处理装置10的运转状况可视化显示。另外,在控制部Cnt的存储部,存储用于通过处理部控制等离子体处理装置10所执行的各种处理的控制程序、用于根据处理条件使等离子体处理装置10的各构成部分执行处理的程序、即处理方案。
使用该等离子体处理装置10进行蚀刻时,在静电卡盘18上载置被处理体X。被处理体X可以具有被蚀刻层和设置于该被蚀刻层上的抗蚀掩模。这样,边利用排气装置50对处理容器12内进行排气,边将来自气体源40a~40e的处理气体以规定的流量对处理容器12内供给,将处理容器12内的压力例如设定为5~500mTorr(0.67~66.5Pa)的范围内。
接着,第一高频电源62对构成下部电极的基台16供给第一高频电力。另外,第二高频电源64对基台16供给第二高频电力。另外,直流电源60对上部电极30供给第一直流电压。由此,在上部电极30和构成下部电极的基台16之间形成高频电场,供给到处理空间S的处理气体被等离子体化。通过由该等离子体生成的正离子和自由基,被处理体X的被蚀刻层被蚀刻。
接着,对利用上述等离子体处理装置10蚀刻的被处理体X的一例进行说明。被处理体X例如用于形成具有三维结构的多层膜的NAND型闪存的结构。图2是NAND型闪存的概略图。如图2所示,各多层配线层200具有用于供给字线WL的电位的金属接触MC1~MC4。为了形成这些金属接触,多个多层配线层200的端部加工为阶梯状。图3是形成金属接触的部位的概略截面图。图3中表示形成金属接触MC1~MC4的部位的概略的截面。如图3所示,各多层配线层200a~200d例如具有绝缘层101a~101d和导电层100a~100d。导电层100a~100d例如为钨(W)等的金属,可以为钛(Ti)、铝(A1)、铜(Cu),另外,也可以为多晶硅(Poly-Si)、非晶硅等的具有导电性的含硅层。位于最下部的多层配线层200d的长度最长,位于最上部的多层配线层200a设定为最短。多层配线层200a~200d的长度设定为从最下部到最上部的多层配线层200a逐渐缩短。在各多层配线层200a~200d的上部形成有绝缘层102和层间绝缘层104。绝缘层101a~101d、绝缘层102和层间绝缘层104例如由氧化硅膜(SiO2)、氮化硅膜(SiN)等的含硅绝缘膜形成。金属接触MCl~MC4通过在形成于层间绝缘层104、绝缘层102和绝缘层101a~101d的孔Hl~H4的内部堆积金属等的导电性材料来形成。孔Hl~H4是通过将导电层100a~100d作为基底层(蚀刻停止层),对绝缘层101a~101d、绝缘层102和层间绝缘层104进行蚀刻处理而同时形成的、各自的深度不同的孔。为了形成这样深度不同的金属接触MC1~MC4,需要通过等离子体蚀刻对深度不同的孔一起进行蚀刻。
具有三维结构的多层膜的NAND型闪存的叠层进一步增加,伴随于此,蚀刻的孔的纵横比也变高。纵横比高的孔和槽的等离子体蚀刻中,随着蚀刻进行产生深度加载,可以预测蚀刻时间的大幅增加。因此,在等离子体蚀刻,要求兼顾导电层选择比和掩模选择比。
本申请的发明人发现,通过在蚀刻所使用的处理气体中适当含有CO气体,能够实现导电层选择比和掩模选择比的兼顾。这可以认为是由于CO与F自由基结合形成COF,清除(Scavenge)了F自由基的缘故。即,本申请的发明人发现,为了兼顾导电层和掩模选择比,清除由等离子体生成的氟自由基是有效的。特别是一氧化碳(CO)气体选择性地与氟自由基结合,通过排气,能够清除氟自由基。
这里,实施方式所涉及的等离子体处理装置10中,作为蚀刻用的处理气体采用至少含有氟碳系气体或氢氟碳系气体、氧气、氮气和CO的处理气体。处理气体中还可以含有稀有气体。例如,本实施方式中的等离子体处理装置10作为蚀刻用的处理气体分别从气体源40a~40e以规定流量对处理容器12内供给氟碳系气体或氢氟碳系气体、稀有气体、氧气、氮气和CO,进行在被处理体X形成孔的蚀刻。由此,等离子体处理装置10能够实现以高水平兼顾导电层选择比和掩模选择比。处理气体中,使用氟碳系气体,作为氟碳系气体,优选含有C4F6气体。此外,由于CO清除F自由基,在处理气体中使用C4F6气体以外的其它氟碳系气体、氢氟碳系气体时,可以认为也同样可以得到提高导电层选择比和掩模选择比的效果。
相对于稀有气体和CO的合计流量,CO的流量优选设为55%以上。另外,相对于稀有气体和CO的合计流量,CO的流量更优选设为71%以上。另外,相对于处理气体的总流量,CO的流量优选设为72%以上。另外,相对于C4F6气体的流量,CO的流量优选设为9.3倍至13倍的范围。由此,等离子体处理装置10能够实现例如具有三维结构的多层膜的NAND型闪存的金属接触MC1~MC4所使用的孔的蚀刻等、要求以高水平兼顾导电层选择比和掩模选择比的蚀刻。
以上,对各种实施方式进行了说明,但是不限定于这些实施方式,能够构成各种变形方式。例如,在上述的实施方式中,两个高频电源与作为下部电极发挥作用的基台16连接,但是,也可以在基台16和上部电极30中的一个连接有作为产生用于生成等离子体的第一高频电力的电源的第一高频电源。
实施例
以下,为了说明上述效果,说明本发明的发明人对多层膜进行孔的蚀刻并评价导电层选择比和掩模选择比的具体例。以下的实施例中,在作为导电层形成了金属层的多层膜进行孔的蚀刻,评价掩模选择比和作为导电层选择比的金属层选择比。图4是表示多层膜的一例的图。图4所示的多层膜300是将例如形成具有三维结构的多层膜的NAND型闪存的被处理体X简略化进行模拟的膜。例如,作为测试样品使用多层膜300进行孔的蚀刻,评价金属层选择比和掩模选择比,由此,评价是否适于图2所示的金属接触MC1~MC4所使用的孔的蚀刻。
多层膜300具有基板301、金属层302、绝缘层(氧化层)303、ACL304。基板301例如使用Si等形成。金属层302形成于基板301上,例如使用钨(W)形成。金属层302是例如在NAND型闪存中作为多层配线层200中的导电层100(100a~100d)和蚀刻停止层发挥作用的部分。金属层302的厚度例如为约40~50nm。绝缘层303形成于金属层302上,例如使用SiO2等形成。绝缘层303是例如在NAND型闪存中作为多层配线层200中的绝缘层101(101a~101d)、绝缘层102和层间绝缘层104发挥作用的部分。绝缘层303的厚度例如为约4.7μm。在比绝缘层303的上表面303a靠叠层方向的上方,作为掩模层配置有ACL304。ACL304具有开口部304a。ACL304的厚度例如为约1.6μm。
另外,在本实施方式中,使金属层选择比和掩模选择比高的条件如下所示。
金属层选择比>300 条件(1)
掩模选择比>7.8 条件(2)
上述的金属层选择比的条件(1)以使金属层302的厚度为40~50nm、其蚀刻量为金属层膜厚的30%以下(15nm)为基准来确定。另外,掩模选择比的条件(2)以使掩模层残留300nm以上为基准来确定。以下,使金属层302为钨,因此,作为金属层选择比,计算钨选择比(W sel)。另外,使掩模层为ACL304,因此,作为掩模选择比,计算ACL选择比(ACL sel)。
使用实施例说明通过在处理气体中添加CO气体所得到的蚀刻的变化。图5是示意表示孔形状的图。图5所示的实施例1~实施例3中,示意表示:将处理气体中所含的C4F6气体、N2气体的流量设为以下的共通条件,将CO气体、Ar气体、O2气体的流量分别如下所示地改变,在多层膜300实施100%过蚀刻时的孔部分的截面的SEM像的图像。
[共通条件]
C4F6气体:54sccm
N2气体:100sccm
[实施例1]
CO气体:200sccm
Ar气体:500sccm
O2气体:42sccm
[实施例2]
CO气体:500sccm
Ar气体:200sccm
O2气体:42sccm
[实施例3]
CO气体:500sccm
Ar气体:200sccm
O2气体:39sccm
在图5所示的实施例1~实施例3,表示各自孔开口附近的宽度Top CD、孔的最大的宽度Bow CD、ACL304的剩余量(ACL Remain:ACL剩余量)、钨的金属层302的蚀刻量(Wrecess:W凹口)。另外,在钨的金属层302的蚀刻量(W recess:W凹口)的下部表示钨选择比(W sel)。例如,实施例1中,孔开口附近的宽度Top CD设为187nm、孔的最大的宽度Bow CD设为251nm、ACL304的剩余量设为233nm、钨的金属层302的蚀刻量设为15.1nm、钨选择比设为282.0。
实施例2中,相对于实施例1替换了处理气体所含的CO气体和Ar气体的流量。在替换了CO气体和Ar气体的流量的情况下,由于CO气体的O的影响,孔的最大的宽度Bow CD增加。实施例3中调整O2气体的流量,使得孔的最大的宽度Bow CD与实施例l成为相同程度。实施例3中将孔的最大的宽度Bow CD设为252nm,与实施例1的251nm接近。
图6是表示ACL选择比和钨选择比的测定结果的一例的表。在图6中,表示改变CO气体和Ar气体的流量进行蚀刻时的ACL选择比(ACL sel)和钨选择比(W sel)的测定结果。此外,将处理气体中所含的C4F6气体、N2气体的流量设为上述的共通条件。另外,O2气体的流量调整为使孔的最大的宽度Bow CD成为相同程度。另外,对用各处理气体进行了蚀刻的多层膜300确认是否存在堵塞的孔,在存在堵塞的孔的情况下,表示为“Clogging:堵塞”。
图6的表中,最左侧的竖行的项目表示将CO气体的流量设为700sccm、500sccm、350sccm、200sccm的情况,最上侧横行的项目表示将Ar气体的流量设为0sccm、200sccm、350sccm、500sccm的情况。在图6的表中,在竖行的项目和横行的项目相交的各区域中,以竖行的项目的CO气体的流量和横行的项目的Ar气体的流量进行蚀刻时的ACL选择比与钨选择比的值表示为“ACL sel/W sel”。另外,在图6的表中,在竖行的项目和横行的项目相交的各区域中,将进行蚀刻时的O2气体的流量的值表示为“(O2流量)”。
例如,图6的表的区域400a是进行图5的实施例1的蚀刻的情况,表示ACL选择比(ACL sel)为7.5,钨选择比(W sel)为282.0,进行蚀刻时的O2气体的流量为42sccm。另外,图6的表的区域400c是进行图5的实施例3的蚀刻的情况,表示ACL选择比为10.8,钨选择比为319.9,进行蚀刻时的O2气体的流量为39sccm。另外,图6的表的区域400a~400d中,CO气体和Ar气体的合计流量为700sccm。
图7是表示ACL选择比的变化的一例的图。图8是表示钨选择比的变化的一例的图。图7和图8中,表示将CO气体的流量相对于CO气体和Ar气体的合计流量(Total Flow)的比例设为0%、29%、50%、71%、100%时的ACL选择比(ACL sel)、钨选择比(W sel)的测定结果。图7的范围501和图8的范围502中包括的各点表示图6的表中将CO气体和Ar气体的合计流量设为700sccm的区域400a~400d的各自的值。另外,图7和图8中,对于比例50%、100%,还表示了改变CO气体和Ar气体的合计流量时的ACL选择比、钨选择比的测定结果。
CO气体的流量相对于CO气体和Ar气体的合计流量的比例为0%的状态是处理气体不含CO气体的状态,比例为29%、50%、71%、100%的各状态是处理气体含有CO气体的状态。如图7和图8所示,比例为29%、50%、71%、100%的各状态与比例为0%的状态相比,钨选择比和掩模选择比提高。即,作为在处理气体中添加CO气体的效果,能够确认钨选择比和掩模选择比提高。
另外,如图7所示,CO气体的流量相对于CO气体和Ar气体的合计流量的比例越高,另外,CO气体和Ar气体的合计流量越多,则有ACL选择比越高的趋势。另一方面,如图8所示,CO气体的流量相对于CO气体和Ar气体的合计流量的比例越高,则钨选择比越高,但是CO气体和Ar气体的合计流量越多,则有钨选择比变低的趋势。
说明通过使CO气体和Ar气体的合计流量一定、使CO气体的流量相对于合计流量的比例发生变化而引起的蚀刻的变化的一例。这里,说明使CO气体和Ar气体的合计流量为700sccm的情况。图9是示意表示孔形状的图。图9中,表示上述的实施例1的孔形状。另外,图9中,表示实施例4、实施例5的孔形状。图9所示的实施例4、实施例5中,示意表示将处理气体中所含的C4F6气体、N2气体的流量设为上述的共通条件,将CO气体、Ar气体、O2气体的流量分别如下所示地改变,在多层膜300实施100%的过蚀刻时的孔部分的截面的SEM像的图像。
[实施例4]
CO气体:0sccm
Ar气体:700sccm
O2气体:42sccm
[实施例5]
CO气体:700sccm
Ar气体:0sccm
O2气体:39sccm
图9所示的实施例1、实施例4、实施例5中,表示各ACL选择比(ACL sel)和钨选择比(W sel)。实施例1对应于图6的表的区域400a。实施例5对应于图6的表的区域400d。
实施例4表示处理气体中不含CO气体的情况。实施例1和实施例5表示处理气体中含有CO气体的情况。实施例1和实施例5与实施例4相比,钨选择比和掩模选择比提高。即,图9中,也能够作为在处理气体中添加CO气体的效果,确认钨选择比和掩模选择比提高。
接着,研究满足上述的本实施方式中呈现高的金属层选择比和掩模选择比的条件(1)、条件(2)的范围。图10是表示钨选择比和ACL选择比的变化的一例的图。图10中,表示CO气体和Ar气体的合计流量为700sccm时,对应于CO气体的流量相对于合计流量的比例的钨选择比(W sel)和ACL选择比(ACL se1)的变化。
图10中,进行了蚀刻的测定结果的钨选择比和ACL选择比用方形的点描绘表示,还分别连结方形的点表示钨选择比和ACL选择比的曲线。另外,图10中,分别用虚线表示设为条件(1)的钨选择比、设为条件(2)的掩模选择比。
从图10所示的曲线读出,CO气体的流量相对于CO气体和Ar气体的合计流量为55%以上时,满足条件(1)、条件(2)。即,等离子体处理装置10通过使CO气体的流量相对于CO气体和Ar气体的合计流量为55%以上,能够实现兼顾条件(1)的钨选择比和条件(2)的掩模选择比的等离子体蚀刻。
另外,根据图10中方形点所示的进行了蚀刻的结果,CO气体的流量相对于CO气体和Ar气体的合计流量为71%以上时,满足条件(1)、条件(2)。即,等离子体处理装置10通过使CO气体的流量相对于CO气体和Ar气体的合计流量为71%以上,能够实现兼顾条件(1)的钨选择比和条件(2)的掩模选择比的等离子体蚀刻。
另外,以CO的流量相对于处理气体的总流量的范围表示时,通过使CO的流量相对于处理气体的总流量为72%以上,能够兼顾条件(1)的钨选择比和条件(2)的掩模选择比。即,等离子体处理装置10通过使CO气体的流量相对于处理气体的总流量为72%以上,能够实现兼顾条件(1)的钨选择比和条件(2)的掩模选择比的等离子体蚀刻。
另外,将CO理解为F的清除剂(Scavenger)时,CO气体的流量能够作为相对于C4F6气体的流量的比率表示,例如,使C4F6的流量为1时,能够表示为以下的式(3)。
9.3≤CO/C4F6≤13.0 (3)
即,等离子体处理装置10通过使CO气体的流量相对于C4F6气体的流量为9.3倍至13倍的范围,能够实现兼顾条件(1)的钨选择比和条件(2)的掩模选择比的等离子体蚀刻。

Claims (7)

1.一种等离子体处理方法,其特征在于:
对配置有多层膜的处理容器内供给至少含有氟碳系气体或氢氟碳系气体、氧气、氮气和CO的处理气体,其中,该多层膜至少具有氧化层、比该氧化层的上表面在叠层方向上配置于下方的导电层和配置于所述氧化层的上表面的掩模层,
在供给了所述处理气体的处理容器内产生等离子体,对所述多层膜进行蚀刻。
2.如权利要求1所述的等离子体处理方法,其特征在于:
所述导电层由金属层或含硅层形成,
处理气体中,作为氟碳系气体,含有C4F6气体。
3.如权利要求1或2所述的等离子体处理方法,其特征在于:
所述处理气体还含有稀有气体,
相对于所述稀有气体和所述CO的合计流量,所述CO的流量为55%以上。
4.如权利要求3所述的等离子体处理方法,其特征在于:
相对于所述稀有气体和所述CO的合计流量,所述CO的流量为71%以上。
5.如权利要求1或2所述的等离子体处理方法,其特征在于:
相对于所述处理气体的总流量,所述CO的流量为72%以上。
6.如权利要求2所述的等离子体处理方法,其特征在于:
相对于C4F6气体的流量,所述CO的流量为9.3倍~13倍的范围。
7.一种等离子体处理装置,其特征在于,具有:
配置多层膜的处理容器,该多层膜至少具有氧化层、比该氧化层的上表面在叠层方向上配置于下方的金属层和配置于所述氧化层的上表面的掩模层;
对所述处理容器内供给至少含有氟碳系气体或氢氟碳系气体、氧气、氮气和CO的处理气体的供给部;和
在供给了所述处理气体的处理容器内产生等离子体并对所述多层膜进行蚀刻的等离子体产生部。
CN201810921324.7A 2017-08-14 2018-08-14 等离子体处理方法和等离子体处理装置 Active CN109390229B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017-156313 2017-08-14
JP2017156313A JP6945385B2 (ja) 2017-08-14 2017-08-14 プラズマ処理方法及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
CN109390229A true CN109390229A (zh) 2019-02-26
CN109390229B CN109390229B (zh) 2023-07-11

Family

ID=65275379

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810921324.7A Active CN109390229B (zh) 2017-08-14 2018-08-14 等离子体处理方法和等离子体处理装置

Country Status (4)

Country Link
US (1) US20190051500A1 (zh)
JP (1) JP6945385B2 (zh)
KR (1) KR20190018393A (zh)
CN (1) CN109390229B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111640663A (zh) * 2019-03-01 2020-09-08 东京毅力科创株式会社 基片处理方法和基片处理装置
CN111916460A (zh) * 2020-08-18 2020-11-10 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11688650B2 (en) 2019-07-05 2023-06-27 Tokyo Electron Limited Etching method and substrate processing apparatus
JP7387377B2 (ja) 2019-10-18 2023-11-28 キオクシア株式会社 プラズマエッチング方法及びプラズマエッチング装置
TW202224015A (zh) 2020-09-14 2022-06-16 日商東京威力科創股份有限公司 蝕刻處理方法及基板處理裝置

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06338479A (ja) * 1993-03-31 1994-12-06 Tokyo Electron Ltd エッチング方法
TW394989B (en) * 1997-10-29 2000-06-21 Matsushita Electronics Corp Semiconductor device manufacturing and reaction room environment control method for dry etching device
US20040175931A1 (en) * 2003-02-26 2004-09-09 Fujitsu Limited Manufacturing method of semiconductor device
TW200527164A (en) * 2003-11-14 2005-08-16 Tokyo Electron Ltd Structure comprising tunable anti-reflective coating and method of forming thereof
US20060014382A1 (en) * 2004-07-13 2006-01-19 Dongbuanam Semiconductor Inc. Method for forming an interconnection line in a semiconductor device
CN101124661A (zh) * 2004-05-11 2008-02-13 应用材料公司 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
CN101241859A (zh) * 2007-02-06 2008-08-13 东京毅力科创株式会社 等离子体蚀刻方法和装置、控制程序和计算机存储介质
US20080293251A1 (en) * 2007-03-27 2008-11-27 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US20090065941A1 (en) * 2007-09-11 2009-03-12 La Tulipe Jr Douglas C Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
CN101553916A (zh) * 2006-12-11 2009-10-07 美光科技公司 蚀刻到含氧化硅材料中的方法、形成容器电容器的方法和形成动态随机存取存储器(dram)阵列的方法
CN101903989A (zh) * 2007-12-21 2010-12-01 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
TW201340202A (zh) * 2011-10-28 2013-10-01 Tokyo Electron Ltd 半導體裝置之製造方法
CN104347521A (zh) * 2013-07-31 2015-02-11 东京毅力科创株式会社 半导体器件的制造方法
CN105374674A (zh) * 2014-08-08 2016-03-02 东京毅力科创株式会社 多层膜的蚀刻方法
TW201639027A (zh) * 2014-12-04 2016-11-01 蘭姆研究公司 用於高深寬比圓筒狀物蝕刻的含金屬側壁鈍化層之沉積技術

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6096470B2 (ja) 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06338479A (ja) * 1993-03-31 1994-12-06 Tokyo Electron Ltd エッチング方法
TW394989B (en) * 1997-10-29 2000-06-21 Matsushita Electronics Corp Semiconductor device manufacturing and reaction room environment control method for dry etching device
US20040175931A1 (en) * 2003-02-26 2004-09-09 Fujitsu Limited Manufacturing method of semiconductor device
TW200527164A (en) * 2003-11-14 2005-08-16 Tokyo Electron Ltd Structure comprising tunable anti-reflective coating and method of forming thereof
CN101124661A (zh) * 2004-05-11 2008-02-13 应用材料公司 碳氟化合物蚀刻化学剂中使用氢气添加剂的掺碳的硅氧化物蚀刻
US20060014382A1 (en) * 2004-07-13 2006-01-19 Dongbuanam Semiconductor Inc. Method for forming an interconnection line in a semiconductor device
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
CN101553916A (zh) * 2006-12-11 2009-10-07 美光科技公司 蚀刻到含氧化硅材料中的方法、形成容器电容器的方法和形成动态随机存取存储器(dram)阵列的方法
CN101241859A (zh) * 2007-02-06 2008-08-13 东京毅力科创株式会社 等离子体蚀刻方法和装置、控制程序和计算机存储介质
US20080293251A1 (en) * 2007-03-27 2008-11-27 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US20090065941A1 (en) * 2007-09-11 2009-03-12 La Tulipe Jr Douglas C Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
CN101903989A (zh) * 2007-12-21 2010-12-01 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
TW201340202A (zh) * 2011-10-28 2013-10-01 Tokyo Electron Ltd 半導體裝置之製造方法
CN104347521A (zh) * 2013-07-31 2015-02-11 东京毅力科创株式会社 半导体器件的制造方法
CN105374674A (zh) * 2014-08-08 2016-03-02 东京毅力科创株式会社 多层膜的蚀刻方法
TW201639027A (zh) * 2014-12-04 2016-11-01 蘭姆研究公司 用於高深寬比圓筒狀物蝕刻的含金屬側壁鈍化層之沉積技術

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111640663A (zh) * 2019-03-01 2020-09-08 东京毅力科创株式会社 基片处理方法和基片处理装置
CN111916460A (zh) * 2020-08-18 2020-11-10 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法

Also Published As

Publication number Publication date
JP2019036612A (ja) 2019-03-07
CN109390229B (zh) 2023-07-11
JP6945385B2 (ja) 2021-10-06
US20190051500A1 (en) 2019-02-14
KR20190018393A (ko) 2019-02-22

Similar Documents

Publication Publication Date Title
CN109390229A (zh) 等离子体处理方法和等离子体处理装置
CN104851795B (zh) 半导体器件的制造方法
CN106206286B (zh) 蚀刻方法
CN105379428B (zh) 等离子体处理装置和等离子体处理方法
CN104867827B (zh) 蚀刻方法
CN104347521B (zh) 半导体器件的制造方法
US9779961B2 (en) Etching method
CN109427576A (zh) 蚀刻方法
JP6529357B2 (ja) エッチング方法
CN106057666A (zh) 蚀刻方法
US9418863B2 (en) Method for etching etching target layer
CN109219866A (zh) 蚀刻方法
US9613824B2 (en) Etching method
CN106158619B (zh) 被处理体的处理方法
CN105810582A (zh) 蚀刻方法
CN110391140A (zh) 蚀刻方法和等离子体处理装置
CN110021524A (zh) 蚀刻方法
JP2019121685A (ja) エッチング方法
US20200126801A1 (en) Etching method and plasma processing apparatus
JP2016032117A (ja) タングステン含有層をエッチングする方法
JP6670672B2 (ja) エッチング方法
CN105810581A (zh) 蚀刻方法
KR20210035073A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
CN109326517A (zh) 对多层膜进行蚀刻的方法
JP2022077710A (ja) エッチング方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant