JP5186086B2 - デュアル・ダマシン・パターニング・アプローチ - Google Patents

デュアル・ダマシン・パターニング・アプローチ Download PDF

Info

Publication number
JP5186086B2
JP5186086B2 JP2006105610A JP2006105610A JP5186086B2 JP 5186086 B2 JP5186086 B2 JP 5186086B2 JP 2006105610 A JP2006105610 A JP 2006105610A JP 2006105610 A JP2006105610 A JP 2006105610A JP 5186086 B2 JP5186086 B2 JP 5186086B2
Authority
JP
Japan
Prior art keywords
layer
dielectric
hole
etch
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006105610A
Other languages
English (en)
Other versions
JP2006295171A (ja
Inventor
ヤン・ファン・オルメン
マーレーン・ファン・ホーフェ
ヘルベルト・ストライフ
ディルク・ヘンドリックス
セルジュ・ファンヘーレメールスフ
ウェルナー・ブーラルト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP05447167.7A external-priority patent/EP1646083B1/en
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2006295171A publication Critical patent/JP2006295171A/ja
Application granted granted Critical
Publication of JP5186086B2 publication Critical patent/JP5186086B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、パターニング・デュアル・ダマシン・インターコネクト構造の分野に関連する。本発明は、さらに、フォトレジストの汚染(poisoning)をなくすことや、パターニングの間における低k損傷をなくし、または少なくとも最小にすることに特徴がある。
半導体産業は、デバイスの寸法を連続的に小さくしている。バック・エンド・オブ・ライン(BEOL)処理において、伝導層の寸法を小さくすることや、異なる複数の伝導構造を相互に分離することに関心がある。これらの構造の間の容量を小さくすることは非常に重要になり、低k材料が従来の誘電体絶縁材料に置き換えられている。インターコネクト構造における誘電材料としてのこれらの(多孔性の)低k材料は、半導体プロセスにおいて新しい問題を生じた。この問題の例は、エッチ処理、アッシング処理および/またはクリーニング処理におけるフォトレジスト汚染と低k損傷を含む。
248nm(DUV)または193nm(ArF)の波長のフォトレジストが多孔性低k材料とともに使用されるとき、フォトレジスト汚染が問題となる。アミンなどの化合物を含む痕跡量の塩基窒素の存在は、248nmまたは193nmのフォトレジストの照射の際に生成される酸を中和でき、フォトレジスト汚染といわれている化学的に増強された酸反応を阻止する。変性されたフォトレジスト材料は、予期されたようにはリソグラフィのパターニングを起こさないことがあり、これにより、フォトレジスト材料における不正確に形成された形状を生じたり、または、フォトレジストの現像の後で基板表面に残る過剰なフォトレジスト残留物を生じたりするが、これらは、いずれもエッチ処理での、その後のプロセスに有害に影響する。
アミンは、レジスト層に近い堆積材料から直接に生じることがある。また、アミンは、レジスト材料を間接的に汚染されることがある。後者は、たとえば、1以上の層を通る拡散により、焼鈍やプラズマ処理などの処理ステップの間での材料の脱ガスにより、また、窒素を含む下の層(たとえば窒化シリコンのエッチストップ層)を、それに続いて堆積されるレジスト材料にさらすことにより、起こる。
レジスト汚染を生じることがある他の材料には、水酸基を含む塩基化合物がある。
デュアル・ダマシン・パターニングのためのビア・ファースト(via first)・アプローチでは、誘電体層の上でのフォトレジスト層の使用は、しばしば、ライン結像レジストの「汚染」を生じ、これはビアが十分にまたは部分的に誘電体の中にエッチされた後で起こる。
汚染は、おそらく、絶縁体の有限の浸透率と、下記の薄膜、および、汚染が観察されるフォトレジストを接触させる前におけるパターンのエッチとストリップ(取り去り)における処理ガスNおよびHの使用の結果として、絶縁体からのアミン化合物の吸収および/または絶縁体からのその放出によるものである。誘電体層が化学蒸着(CVD)により付加された多孔性低k絶縁体であるとき、この汚染問題は、シリコン酸塩ガラス誘電体層に比べて、はるかに悪く見える。これは、低k絶縁体の透過率の増加、いくつかの堆積法におけるキャリアガスとしてのNOの使用、および、前のリソグラフィパターンを取り去るためのN、Hなどの薬品を減少する一般的な使用によるものと考えられる。
低k誘電体を集積するときのもう1つの重要な問題は、エッチ処理、ストリップ処理(stripping)およびエッチ後のクリーニング処理により生じるプラズマ損傷である。低k薄膜の中に拡散されるプラズマの種は、薄膜の組成や構造を変えることがある。エッチ/ストリップのための酸素を含む薬品の使用は、典型的には、薄膜の中に存在する炭素の消耗を生じ、薄膜を親水性にし、水(k値>>80)の吸着を受けやすくし、これは、薄膜のk値の増加にみちびく。集積回路の小型化において、構造の寸法が損傷領域と同じぐらいになるので、この問題は、さらに重要になってきている。
いくつかのアプローチが、従来から汚染問題を解決するために提案されているが、不完全であり、また、複雑すぎていた。
1つの試みは、より汚染に敏感でないレジスト材料を使用することである。しかし、そのようなレジスト材料は、結像の解像度を損ない、リソグラフィ法のウィンドウを小さくする。
もう1つの試みは、汚染をより少なくするように誘電材料を変性することである。たとえば、Grillらの米国特許第6,147,009号公報において、酸化性キャリアガスとしてのNOの使用は、シロキサンを基にした先駆体とHeガスを用いて低kのSiCO(H)薄膜を作ることにより避けられ、これにより、堆積された薄膜における窒素の源を除く。これは、ブランケットSiCO(H)薄膜の上の第1のフォトレジスト材料の汚染を防くことができるが、SiCO(H)薄膜においてパターンがエッチされ、レジストが取り去られた後でも、アミンがなお生成されて、デュアル・ダマシン・インターコネクトについて要求されるような、その後のフォトレジストのパターニング処理を損なうことがある。
もう1つの方法は、酸性化合物などで低k材料をあらかじめ処理することにより、フォトレジストの汚染を除くことである。米国特許出願第2002/0081855号に開示されたイン・シトゥ・プラズマ処理では、トレンチ・パターニング・レベルで汚染を減少しまたは無くすことにより、汚染の源を直ちに取り除く。しかし、そのような変更は、絶縁材料の誘電定数や他の特性に悪影響を及ぼすことがある。
部分的に成功したもう1つのアプローチは、ビアのエッチの後にバリア層を堆積することである。このアプローチでは、TEOS、シランSiOなどのバリア材料の非常に薄い層で内表面を形成し、これにより汚染の源をカプセル化する。内表面形成(ライナー)材料は、優れた整合性を持たねばならない。高いアスペクト比のビアに材料を堆積することは難しいので、このアプローチは、未来の技術に対して応用可能でないかもしれない。薄い領域の中での欠陥は、汚染性ガスを通すことがあり、低い統計的発生であっても、線結像層の中での欠陥パターンを許容できないレベルにさせる。このアプローチの1例は、米国特許第6,645,864号公報に説明されている。
Huらの米国特許第6,713,386号公報では、低k材料は、ビアのエッチの後で、保護層が、窒素を中和する低k材料の表面の上に形成されるように、酸素、二酸化炭素、オゾン、過酸化水素などの気体成分を含むプラズマにさらされる。しかし、これは、低k材料の損傷、バルクの低k材料のk値の増加といった危険なしではない。
パターニングの間でのレジスト汚染を防ぐもう1つのアプローチは、米国特許第2004/127016号公開公報に説明されている。デュアル・キャップ層の使用が開示される。デュアル・キャップ層は、ビアまたはトレンチの、その下の伝導層へのエッチの前に、低k絶縁体層の上に形成される。デュアル・キャップ層は、炭化シリコンの層と窒化シリコンの層を含む。炭化シリコン層は、絶縁体層の方へエッチされるとき、ビアまたはトレンチの重要な寸法を維持し、窒化シリコン層は、レジスト汚染の故障機構を抑制する。このアプローチは、単独ダマシン・プロセスに対してのみ正当であり、デュアル・ダマシン・プロセスにおけるビア・ファースト・アプローチで、パターンが形成されたビア構造の上でトレンチをエッチするのには適用できない。
Baoらの米国特許第2004/00897164号公開公報では、デュアル・ダマシン・パターニングのためのビア・ファースト・アプローチにおいて使用するための、lラインすなわち深紫外光レジストをバリア層として使用している。バリア層は、ビア・エッチの後に低k材料の上に堆積される。バリア層として使用されるレジストは、窒素含有化合物を引きつける水酸基を含む。バリア層の堆積の前に、不活性な高分子樹脂が、ビアの中に堆積されて、そのビアを閉じ、また、所望ならば、スピンコーティングにより形成されたバリア層を使用して、そのビアを閉じ、また、ダマシン・スタック(積層体)の上にコプラナー層を形成する。トレンチは、ビア構造の中で、酸化物エッチ剤(プラズマ)でエッチされる。このエッチ剤は、フォトレジストとBARC層を消費し、バリア層と樹脂層の一部を消費する。CVD低k材料の場合に、この酸化性プラズマは、トレンチの側壁に低k損傷を起こさせる。トレンチのエッチの後に、かなりの量のバリア層と樹脂層があって、さらに低k損傷を起こす危険性を持っている湿式ストリップ処理(HSO/Hを含む酸化性溶液)を用いて除去されねばならない。
Willeらによる米国特許第2004/02666201号公開公報では、デュアル・ダマシン・パターニングのためのビア・ファースト・アプローチにおける使用のため、バリア層を使用する別の方法が記載されている。バリア層は、ビア・エッチの後で低k材料の上表面の上に堆積される。平坦化材料が、また、そこに堆積されて、バリア層堆積の前にそのビアを閉じる。低k材料におけるトレンチ・エッチは、Oを含むプラズマを用いて行われる。このプラズマは、低k材料がSiCO(H)材料(CVD低k材料ともいう)であるなら低k損傷を生じる。バリア層は、トレンチ・エッチの間に除去される。ビアの底部にある平坦化材料の残りは、さらに、トレンチの側壁にふたたび損傷を生じる、Oを含む除去用化学薬品を用いて除去されねばならない。
こうして、従来技術は、レジスト汚染を防止するいくつかの解決を提案しているが、それらはすべて重大な欠点や短所をもっている。従来技術は、フォトレジスト汚染を除くか、または、少なくとも誘電体材料へのプラズマ損傷を最小にするという問題を同時には解決しない。反対に、フォトレジストの汚染を防ぐ従来技術の解決のいくつかは、低k損傷を生じる。
米国特許第6,147,009号公報 米国特許第6,645,864号公報 米国特許第6,713,386号公報 米国特許第2004/127016号公開公報 米国特許第2004/00897164号公開公報 米国特許第2004/02666201号公開公報
本発明は、半導体プロセスにおいて使用されるデュアル・ダマシン構造のパターニングのための方法を提供する。
より詳細には、本発明は、金属ハードマスクを基にしたパターニング法を提供し、この方法は、レジスト汚染を除きつつ低k損傷を除く(または少なくとも最小にする)ことを可能にする。
本発明による方法は、デュアル・ダマシン・パターニングにおけるフォトレジスト汚染を除去するため、特に、側壁、特にデュアル・ダマシン・パターニングのために使用されるビア・ファースト・アプローチにおけるトレンチの側壁、へのプラズマ損傷を避けるかまたは少なくとも減少しつつ、ビア・ファースト・アプローチにおいて行われるようにビアの上でのトレンチのエッチのためフォトレジスト汚染を除去または減少するために、使用できる。
本発明による方法では、
(好ましくは、パターンが形成された構造からなる)基板の上に誘電体層を堆積し、
所望ならばこの誘電体層の上にキャップ層を堆積し、
このキャップ層と前記誘電体層の中に第1のホール(孔)をエッチし、
前記第1のホールが完全に充填されるようにギャップ充填材料を堆積し、
前記ギャップ充填材料のレベルが前記誘電体層のレベルまたは前記キャップ層のレベルに等しくなるように、前記ギャップ充填材料を部分的に除去し、
前記誘電体層の上に、または、もし存在していれば前記キャップ層の上に、金属ハードマスク層を堆積し、
金属ハードマスク層の上に、結像材料(単数または複数)を堆積し、
前記結像材料の中に少なくとも1つの第1のパターンを形成し、
前記ハードマスク層の中の前記パターンを転写し(すなわち前記ハードマスクに開口部を設け)、
前記結像材料を除去し、
前記ギャップ充填材料を除去し、
前記誘電体層において前記第1のホールの上に第2のホール(孔)(11)をエッチする。
前記誘電体材料は、好ましくは、低k誘電体材料であり、より好ましくは、多孔性CVD低k材料、たとえば、Black Diamond(登録商標)、Aurora(登録商標)などの(水素化)オキシ炭化シリコン材料(SiCO(H))である。
前記ギャップ充填材料(平坦化化材料ともいう)は、好ましくは有機材料、たとえば、一般的に使用されるBARC(Bottom Anti-Reflective Coating)材料、TIS2000(登録商標)bilayer(富士フィルム電子材料)の下層(UL)、SiLK(登録商標)、ポリアリルスルフォン、ポリヒドロキシスチレンを基にした誘導体、ポリイミド、ポリエーテル(特にハネウェル社のFLARE(登録商標)やシューマッハ社のVELOX(登録商標)などのポリアリレンエーテル)、ポリ硫化アリレン、ポリ炭酸塩、エポキシ、エポキシアクル酸塩、ポリフェニレンなどのポリアリレン、ポリフェニレンビニレンなどのポリアリレンビニレン、ポリビニルカルバゾル、環式オレフィン及び/またはポリエステルである。
誘電体材料の表面の上にまたはキャップ層の上に堆積される前記金属ハードマスク層は、好ましくは、TaN層、TiN層、TaSiN層、TiSiN層、TiW層および/またはWN層であり、これは、低k材料を通り、その下の結像層(たとえばフォトレジスト層)のほうへのアミン化合物の移動を防止するバリア層として機能する。
レジスト汚染を防止する第1の問題は、こうして、バリア層により解決される。好ましくは、このバリア層はハードマスク層であり、より好ましくは、金属ハードマスク層である。
本発明の1つの方法において、前記結像材料は、好ましくは、少なくとも1つのフォトレジスト層と、所望ならば少なくとも1つの反射防止コーティング層(たとえばBARC)である。
好ましい実施の形態において、ギャップ充填材料の一部の除去は、そのレベルがキャップ層のレベルに等しくなる(すなわちギャップ充填層のレベルとキャップ層のレベルがアライン(align)される)ようにギャップ充填層をエッチバックすることにより達成される。もしキャップ層がなければ、そのレベルは誘電体層の上部の上でアラインされる。
前記エッチ処理は、たとえば、等方的または非等方的ドライエッチ処理である。
本発明の1つの方法において、ギャップ充填材料の一部の除去は、また、前記平坦化材料の化学的機械的研磨によって達成できる。ここで、キャップ層は、ギャップ充填材料(のレベル)がキャップ層のレベルに等しくなるようにストップ層として使用される。もしキャップ層がなければ、そのレベルは誘電体層の上部にアラインされる。
側壁損傷の第2の問題を解決するために、誘電体層は、酸素を含まないプラズマであるドライエッチ・プラズマを用いて異方的にエッチされる。
好ましくは、異方的ドライエッチ処理において使用される無酸素プラズマは、(金属)ハードマスク層の方に選択的である。
こうして、第2のホールは、第1のホールの上で異方的ドライエッチ処理を用いてエッチできる。ここで、プラズマは、酸素がなく、好ましくはハードマスク層の方に選択的である。
より詳細には、ビア・ファースト・アプローチにおいて、トレンチは、異方的ドライエッチ処理を用いてビアの上でエッチできる。ここで、プラズマは、酸素を含まず、好ましくは、前記ハードマスク層の方に選択的である。
誘電体層に第2のホールをエッチする前記異方的エッチ化学薬品は、誘電体材料の中の第2のホールの側壁へのプラズマ損傷を除くかまたは少なくとも最小にするという長所をもつ。
本発明による1つの方法において、結像材料の除去は、ギャップ充填材料の除去の前、または、その後に実行できる。
好ましくは、本発明による1つの方法において、結像材料の取り去り(ストリップ)と、ギャップ充填材料の除去は、同時に実行され、すなわち、1ステップで実行される。
本発明による1つの方法では、結像材料の除去とギャップ充填材料の除去とは、第2のホールのエッチの前に、または、同時に(1ステップで)実行できる。
本発明による1つの方法では、第2のホールのエッチは、1つの別のステップで実行され、無酸素プラズマは、さらに、(CHF、CHF,CH、CF、C、C、Cなどの)フルオロカーボン化合物(C化合物)を含んでいてもよい。好ましくは、無酸素プラズマはCと窒素を含む。
前記エッチプラズマは、さらに、アルゴンなどの不活性化合物を含んでいてもよい。
別の方法では、結像材料の除去と、誘電体層での第2のホールの除去(より詳細には、ビア・ファースト・アプローチにおけるトレンチのエッチ)が、無酸素プラズマを用いた異方性エッチ処理を用いて、同時に実行され、すなわち、1ステップで実行される。
前記結像材料の除去と誘電体層での第2のホールのエッチとが同時に(すなわち1ステップで)実行されるとき、前記無酸素プラズマは、水素含有プラズマである。
水素を含む前記無酸素プラズマは、さらに、(CHF、CHF,CH、CF、C、C、Cなどの)フルオロカーボン化合物(C化合物)を含んでいてもよい。
好ましくは、水素を含む前記プラズマがCFを含む。
好ましくは、CFは、少量である。たとえば、CFの流れは、全体の流れの(約)0.1%と(約)1%の間にあり、好ましくは、(約)0.2%と(約)0.7%の間にある。好ましくは、CFの流れは、全体の流れの(約)0.5%である。
酸素を含まず水素を含む前記プラズマは、さらに窒素を含んでいてもよい。
より好ましくは、本発明による方法において、誘電体層への第2のホールのエッチと、結像層とギャップ充填層との両方の除去は、同時に(1ステップで)実行される。
すなわち、同じ無酸素プラズマが、感光層の除去のため、ギャップ充填材料の除去のため、また、第2のホールのエッチのために使用できる。
そのような方法において、無酸素プラズマは、たとえば、水素を含むプラズマであって、これは、さらにフルオロカーボン化合物(C化合物)、より詳細にはCFを含んでいてもよい。
好ましくは、本発明による方法は、さらに、前記誘電体層の堆積の前に、前記基板の上への誘電体バリア層の堆積を含む。
前記誘電体バリア層は、エッチストップ層として動作でき、また、銅バリア層としても動作できる。
本発明による方法は、さらに、銅バリア層と、所望ならばビアとトレンチの側壁の上への銅シード層の堆積を含む。
本発明による方法は、さらに、トレンチとビアの中での銅の堆積と、過剰の銅およびその下にある銅バリア層、銅シード層(もし存在すれば)および残りの(金属)ハードマスク層の化学的機械的研磨による除去を含んでいてもよい。
本発明による1つの方法は、パターンが形成された構造の上にデュアル・ダマシン・インターコネクト構造を形成するための完全ビア・ファースト・プロセス(ファースト・ビア・アプローチ)において使用できる。
本発明のコンテキストにおいて、前記基板は、好ましくは、パターンが形成された構造を含む半導体基板である。
そのようなパターンが形成された構造は、好ましくは、単独ダマシン・インターコネクト構造である。
前記単独ダマシン・インターコネクト構造は、好ましくは、誘電体層にパターンが形成された構造を含む銅である。
好ましくは、前記誘電層を堆積する前に、誘電体バリア層が前記基板の上に(前記パターンが形成された構造の上に)堆積される。
本発明による別の方法は、デュアル・ダマシン・インターコネクト構造を形成するための部分的ビア・ファースト・プロセス(ファースト・ビア・アプローチ)において使用できる。
部分的ビア・ファースト法において、前記デュアル・ダマシン・インターコネクト構造は、パターンが形成された構造の上に形成される。
本発明の部分的ビア・ファースト法において、第2の誘電体バリア層は、前記誘電体層の上に堆積でき、第2の誘電体層は、(所望ならばキャップ層を堆積する前に)第2の誘電体バリア層の上に堆積できる。
ファースト・ビア・アプローチまたは部分的ファースト・ビア・アプローチにおいて、前記第1のホールは1つのビアに対応し、第1のホールの上にエッチされる前記第2のホールはトレンチに対応する。
本発明は、また、本発明による方法により得られる半導体デバイスに関連する。
以下、添付の図面を参照して発明の実施の形態を説明する。すべての図面は、発明のいくつかの観点及び実施の形態を説明することを意図している。デバイスは、明瞭さのために単純化して示されている。すべての別の実施の形態や選択可能な事項が記載されてはいないので、本発明は図面には限定されない。同じ参照記号は、異なる図面において同じか同等なものを示す。
以下の説明は、(デュアル)ダマシン構造のパターン形成のための別の方法を説明する。この方法により、少なくとも、フォトレジスト汚染を除去し、さらに、誘電体材料のプラズマ損傷を避けるか、または、少なくとも最小にする。理解されるように、多数の変形例や実施の形態がある。
デュアル・ダマシン・パターニングのため、本発明は、まず、第1の問題を解決するためにフォトレジスト汚染を除去するために(ビアの中での)ギャップ充填材料と(金属)ハードマスクを堆積することと、次に、誘電体材料へのプラズマ損傷の第2の問題が(デュアル)ダマシン構造のパターニングの間に避けられ、または、少なくとも最小にされるように、前記(金属)ハードマスクの方に選択的なドライエッチ処理を行うことという組み合わせの使用に基づいている。
なお、ビア(孔)・ファースト・シーケンスとトレンチ(溝)・ファースト・シーケンスとは、デュアル・ダマシン構造のパターニングのための主流の生産において現在使用されている処理である。
ビア・ファースト・アプローチでは、ビアは、まず、ILD(狭い間隔のインターコネクト・ラインを電気的に分離するために使用されているインター・レベル誘電体(Inter Level Dielectric)またはインター・レイヤ誘電体(Inter Layer Dielectric))において区画され、続いて、トレンチのパターニングが行われる。
このアプローチにおいて、ダマシン構造を形成するシーケンスは、第1のマスクを用いてビア・パターンを露光することにより始まる。全体の誘電体スタックを通して完全にビアをエッチし、レジストを取り去った後で、第2のマスクはトレンチのパターニングのために使用される。
本発明は、ビアの上でのトレンチのパターニングのためのフォトレジスト汚染を少なくとも除去することと、トレンチのエッチの間での誘電体材料へのプラズマ損傷をさけることまたは少なくとも最小にすることに焦点をおいている。
より詳細には、トレンチのエッチは、トレンチの側壁への損傷が最小になるように最適化される。
半導体プロセスにおいて使用されるデュアル・ダマシン構造のパターニングの方法が開示される。
このパターニングは、さらに、レジスト汚染を除き低k損傷を避けるかまたは少なくとも最小にする、金属マスクを基にしたパターニングとして特徴づけられる。
この方法は、ビア・ファースト・アプローチにおいて行われるように、デュアル・ダマシン・パターニングにおいて、フォトレジスト汚染を無くすために、また、ビアの上でのトレンチ・エッチのためにフォトレジスト汚染を減少するために使用される。
ハードマスク層、より詳細にはTaN、TiNなどの金属ハードマスク層は、低k材料を通り、その下にある感光性の結像層(たとえばフォトレジスト層)の方へのアミン化合物の移動を防ぐために、バリア層としての誘電体材料の表面の上に堆積される。
レジスト汚染を除くという第1の問題は、こうして、バリア層を用いて解決される。このバリア層は、好ましくはハードマスク層であり、より好ましくは金属ハードマスク層である。
トレンチは、ハードマスクの方に選択的な異方的ドライエッチ処理を用いて、ビアの上にエッチされる(ビア・ファースト・アプローチ)。
側壁損傷の第2の問題を解決するために、誘電材料を異方的にエッチするために用いられるドライエッチ用プラズマは、(金属)ハードマスク層の方に選択的であり、酸素なしのプラズマである。
トレンチのエッチの前にまたは同時に感光層とギャップ充填層とを除去することは、また、さらに誘電体層を損傷し、より詳細には、トレンチの側壁を損傷することを避ける。トレンチの側壁の、酸素を含むストリップ用化学薬品への暴露の問題は、こうして避けられる。
この方法では、基板の上に誘電体層を堆積し、次に、この誘電体層の上にキャップ層を堆積し、次に、このキャップ層と前記誘電体層に第1のホール(孔)をエッチし、次に、第1のホールの完全な充填が達成されるようにギャップ充填材料を堆積し、次に、前記ギャップ充填材料のレベルが前記キャップ層のレベルに等しくなるように、前記ギャップ充填材料を部分的に除去し、次に、金属ハードマスク層を堆積し、次に、この金属ハードマスク層の上に、結像材料(単数または複数)を堆積し、次に、前記結像材料の層の中に少なくとも1つの第1のパターンを形成し、次に、前記ハードマスク層の中に前記パターンを転写し、次に、前記結像材料と前記ギャップ充填材料を除去し、次に、前記誘電体層において前記第1のホールの上に第2のホール(孔)(トレンチ)をエッチする。
最後に、銅バリア層と、所望ならば銅シード層とを、ビアとトレンチの上に堆積する。最後に、銅が、トレンチとビアの中に堆積され、化学的機械的研磨を用いて、過剰の銅、および、前記銅バリア層、銅シード層および残りの(金属)ハードマスク層を除去する。
好ましくは、前記結像材料の除去と、前記ギャップ充填材料の除去は、同時に、すなわち、同じステップで実行される。別の方法では、結像材料の除去は、ギャップ充填材料の除去の前に実行される。
他の好ましいシーケンスでは、誘電体材料の中での第2のホールのエッチおよび結像材料の除去、および/または、前記ギャップ充填材料の除去は、同時に、すなわち、1ステップで実行される。
誘電体材料は、好ましくは、低k材料であり、より好ましくは、多孔性CVD低k材料(たとえばSiCO(H))である。平坦化材料は、好ましくは、有機ギャップ充填材料である。ハードマスク層は、好ましくは、金属ハードマスク層である。
この方法では、さらに、結像材料の堆積の前に、反射防止コーティング(BARC)の層を堆積してもよい。
好ましい実施の形態では、ギャップ充填材料の一部の除去は、そのレベルがキャップ層のレベルに等しくなるようにギャップ充填層をエッチバックすることにより達成される。このエッチは、たとえば等方的または非等方的ドライエッチ処理である。
別の実施の形態では、ギャップ充填材料の一部の除去は、平坦化材料の化学的機械的研磨によって達成できる。ここで、キャップ層は、ギャップ充填材料がキャップ層のレベルに等しくなるようにストップ層として使用される。
好ましい実施の形態において、本発明は、パターンが形成された少なくとも1つの伝導体からなる半導体基板の上にデュアル・ダマシン・インターコネクト構造を形成するための完全ビア・ファースト・プロセスを含む。
別の実施の形態では、本発明の方法は、部分的ビア・ファースト・プロセスを用いてデュアル・ダマシン・インターコネクト構造を形成するために開示される。
部分的ビア・ファースト・プロセスは、好ましくは、中間の誘電体バリアを用いる。
本発明は、さらに、誘電体材料の中のトレンチのエッチがハードマスク層の方に選択的であるということにより特徴づけられる。より好ましくは、このハードマスク層は、金属ハードマスク層であり、誘電体材料は、CVD型低k材料である。
好ましくは、誘電体層の中に第2のホール(トレンチ)をエッチするための異方的エッチ用化学薬品は、トレンチの側壁に対するプラズマ損傷をなくし、または少なくとも最小にするエッチ用化学薬品として特徴づけられる。好ましい処理フローでは、結像材料の除去は、第2のホール(トレンチ)のエッチの前に行われ、エッチ用化学薬品は、無酸素プラズマである。このエッチ処理のエッチ用化学薬品(単数または複数)は、好ましくは、誘電体材料へのプラズマ損傷を防止し、または、少なくとも最小にする。このプラズマは、たとえばC化合物(フルオロカーボン化合物)を含む無酸素プラズマであり、より好ましくは、このC化合物はCである。エッチ用プラズマは、さらに、窒素を含み、アルゴンなどの不活性加工物を含んでいてもよい。
別の方法では、誘電体層に第2のホールを、より詳細には、トレンチをエッチするための異方的エッチ用化学薬品は、トレンチの側壁に対するプラズマ損傷を最小にするエッチ用化学薬品として特徴づけられる。これにより、結像材料の除去は、第2のホール(トレンチ)のエッチと同時に行われ、このエッチ用化学薬品は、無酸素プラズマである。エッチ用プラズマは、さらに、水素を含むプラズマとして特徴づけられ、より詳細には、このプラズマは、水素と所望ならばCを含み酸素を含まないプラズマであり、これにより、低k材料へのプラズマ損傷を最小にする。
(完全ビア・ファースト・アプローチに基づくデュアル・ダマシン・パターニング法)
完全ビア・ファースト・アプローチに基づくデュアル・ダマシン構造のパターニングのための本発明の方法が詳細に説明される。この方法は、トレンチのパターニングの間でのフォトレジスト汚染を除くことに特徴づけられる。この方法は、さらに、トレンチ・エッチの間での誘電体材料へのプラズマ損傷を防止しまたは少なくとも最小にすることにおいて特徴づけられる。この方法は、図1A〜図1Lに図式的に示され、詳細に説明される。
好ましくは、出発点は、パターンが形成された構造を含む半導体基板であり、好ましくは、このパターンが形成された構造は、単独ダマシン・インターコネクト構造であり、第1トレンチまたはMetal1という。
このパターンが形成された構造は、誘電体材料1の中でパターンが形成された銅構造2と銅拡散バリア層3とからなる。
誘電体材料1は、好ましくは、低k材料であり、より好ましくは、シリコンを含む低k材料である。これらのシリコンを含む低k材料の例は、CVD型低k材料、たとえばBlack Diamond(登録商標)、Aurora(登録商標)などの(水素化)オキシ炭化シリコン材料(SiCO(H))である。
好ましくは、誘電体バリア4は、この基板(またはパターンが形成された構造)の上に堆積される。
好ましくは、この誘電体バリア4は、SiC(N)層であり、これは、後に続く処理でエッチストップ層として使用できる。誘電体バリアの他の例は、酸化TEOS、酸化シランおよびSiを含む。
次に、誘電体材料5の層が、前記基板(またはパターンが形成された構造)の上に堆積される。
好ましくは、誘電体材料5は、低k材料であり、より詳細には、CVD低k材料である。これらのCVD低k材料の例は、たとえばBlack Diamond(登録商標)、Aurora(登録商標)などの市販されている材料である。
好ましくは、誘電体層1,5は、同じ材料からなる。
キャップ層6は、所望ならば誘電体層5の上に堆積される。このキャップ層は、SiC(N)や、酸化TEOS、酸化シランなどの窒化物型または酸化物型の材料から構成されてもよい。
次に、ホール(孔)14、より詳細にはビアホール、が、通常のパターニング技法を用いて誘電体層5の中に形成される。ここで、フォトリソグラフィと、それに続く異方的ドライエッチ処理を用いて、フォトレジスト層の中のパターンを、キャップ層(もし存在すれば)と誘電体層5(図示しない)に転写する。
誘電バリア層4は、異方的ドライエッチのあいだエットストップ層として使用できる。
通常の取り去り(ストリップ)処理は、(残留物が確かに残らないようにするため)クリーニング処理と組み合わされて、残っているフォトレジストを除去する。
次に、ギャップ充填材料7は、少なくともホール(ビア)の完全な充填が達成されるように、ビアホールの中に堆積される。
好ましくは、ギャップ充填材料7は、有機スピン・オン材料である。そのような材料の例は、TIS2000(登録商標)bilayer(富士フィルムの電子材料)の下層(UL)、SiLK(登録商標)、ポリアリルスルフォン、ポリヒドロキシスチレンを基にした誘導体、ポリイミド、ポリエーテル(特にハネウェル社のFLARE(登録商標)やシューマッハ社のVELOX(登録商標)などのポリアリレンエーテル)、ポリ硫化アリレン、ポリ炭酸塩、エポキシ、エポキシアクル酸塩、ポリフェニレンなどのポリアリレン、ポリフェニレンビニレンなどのポリアリレンビニレン、ポリビニルカルバゾル、環式オレフィン及び/またはポリエステルである。
次に、過剰のギャップ充填材料7は、そのレベルがキャップ層6のレベルに等しいように除去される。もしキャップ層がなければ、そのレベルは、誘電体層5の上部の上にアラインされる。
過剰のギャップ充填材料7の除去は、好ましくは、ドライエッチ処理により行われ、これはエッチバックともいう。
続いて、後の処理でフォトレジスト汚染を防止するための拡散バリア層8が堆積される。
本発明の拡散バリア層8は、ハードマスク層であり、より好ましくは金属ハードマスク層である。金属ハードマスク層の例は、TaN、TiN、Ta、TaSiN、TiSiN、TiWおよびWNである。
次に、結像材料(単数または複数)が堆積され、ビアの上にトレンチを区画するためのパターンが結像材料に転写される。
結像材料は、少なくとも1つのフォトレジスト層10と、所望ならば少なくとも1つの反射防止コーティング9(たとえば底部反射防止コーティングすなわちBARC)からなる。
好ましくは、前記の結像材料は、少なくとも1つのフォトレジスト層10と、少なくとも1つの反射防止コーティング9(たとえば底部反射防止コーティングすなわちBARC)からなる。
ハードマスク層8とおそらくさらにキャップ層6とに開口を設けた後に、結像材料(フォトレジスト層10ともし存在すれば反射防止コーティング9)とギャップ充填材料7は、ストリップ処理を用いて除去される。
このストリップ処理は、たとえば、SF/Oドライエッチ・プラズマを用いている。
好ましくは、結像材料(フォトレジスト層10ともし存在すれば反射防止コーティング9)とビアの中のギャップ充填材料7の除去が、同時に行われる。
ビアの上でのトレンチのドライエッチの前のギャップ充填材料と結像材料の完全な除去により、トレンチの可能な側壁損傷の第1原因を避けられる。
誘電材料の中の第2の孔11すなわち「トレンチ」をエッチするために、ハードマスクの方に選択的である異方的ドライエッチ処理が使用される。
より詳細には、金属ハードマスク層の方に選択的であるエッチ処理が使用される。
このエッチ処理のエッチ用化学薬品は、さらに、誘電体材料へのプラズマ損傷を避けるように特徴づけられる。好ましくは、このプラズマは、たとえばフルオロカーボン化合物(C化合物)を含む無酸素プラズマであり、より好ましくは、このC化合物はCである。
このエッチプラズマは、たとえば、さらに窒素を含み、アルゴンなどの不活性化合物を含んでいてもよい。
Cu拡散バリア層12は、トレンチとビアの開口の中に堆積され、周囲の誘電体(低k)の中での銅の移動を防止する。Ta(N)、Ti(N)、WNCなどの一般的に使用される銅拡散バリア層12がここで使用される。
続いてトレンチとビアの開口は銅13で電気めっきされる。
次に、(金属)ハードマスク層の残りの部分、過剰の銅および過剰の銅拡散バリア層は、通常の化学的機械的研磨を用いて除去される。
上述の方法とは別の方法では、過剰のギャップ充填材料7は、化学的機械的研磨(CMP)を用いてキャップ層6のレベルに等しくなるように除去される。この別の方法のフローは、図4に図式的に示される。
上述の方法とは別の方法では、結像材料(10及びもし存在すれば9、それぞれフォトレジスト層とBARC層)の除去(ストリップ)は、ギャップ充填材料7の除去の前に行われる。この別の方法のフローは、図5に図式的に示される。
上述の方法とは別の方法では、誘電材料の中の第2の開口すなわち「トレンチ」のエッチと結像材料(10及びもし存在すれば9)の除去は、同時に行われる。このトレンチのエッチを行うために使用される異方的エッチ処理は、また、使用される(金属)ハードマスクの方に選択的である。このエッチ処理のエッチ用化学薬品は、さらに、誘電体材料へのプラズマ損傷を最小にするように特徴づけられる。好ましくは、このプラズマは、水素を含む無酸素プラズマである。不活性化合物などの他の化合物は、このプラズマに追加できる。好ましくは少量のC化合物がこのプラズマに追加される。このC化合物は、好ましくはCFであり、最も好ましくは、CFの濃度は5sccmである。この別の方法のフローは、図6に図式的に示される。この処理フローにおけるギャップ充填材料7の除去は、トレンチのエッチのための異方的ドライエッチ処理の前またはその間に行える。
(部分的ビア・ファースト・アプローチに基づくデュアル・ダマシン・パターニング法)
完全ビア・ファースト・アプローチとは別の、部分的ビア・ファースト・アプローチに基づくデュアル・ダマシン構造のパターニングのための本発明に基づく方法が詳細に説明され、図7A〜7Mに説明される。この方法は、トレンチのパターニングの間でのフォトレジスト汚染を除くことに特徴づけられる。この方法は、さらに、トレンチのエッチの間での誘電体材料(およびビアの残りの部分)へのプラズマ損傷を防止しまたは少なくとも最小にすることにおいて特徴づけられる。
好ましくは、出発点は、パターンが形成された構造を含む半導体基板である。
このパターンが形成された構造は、銅パターン22または誘電体層21の中に設けられるトレンチなどの構造からなる。
誘電体材料21は、好ましくは、低k材料であり、より好ましくは、シリコンを含む低k材料である。これらのシリコンを含む低k材料の例は、CVD(化学蒸着)型低k材料、たとえばBlack Diamond(登録商標)、Aurora(登録商標)などの(水素化)オキシ炭化シリコン材料(SiCO(H))である。
このパターンが形成された構造は、好ましくは、単独ダマシン・インターコネクト構造であり、Metal1ともいう。
次に、少なくとも1つの誘電体エッチストップ層24(誘電体バリア層ともいう)と誘電体材料25の少なくとも1つのの層からなる積層構造が、基板の上に堆積される。
好ましくは、誘電体エッチストップ層24は、SiC(N)層であり、これは、後に続く処理でエッチストップ層として使用できる。誘電体バリアの他の例は、酸化TEOS、酸化シランおよびSiを含む。
好ましくは、誘電体材料25は、低k材料であり、より詳細には、CVD低k材料である。これらのCVD低k材料の例は、たとえばBlack Diamond(登録商標)、Aurora(登録商標)などの市販されている材料である。
図7A〜図7Mに提示されているように、前記積層構造は、好ましくは、さらに、誘電体エッチストップ層24の上に堆積された誘電体材料の層36(埋め込み誘電体層36ともいう)と、この層36の上に堆積された第2の誘電体バリア37(中間誘電体バリア層37ともいう)からなる。
次に、前記誘電体層25は、中間誘電体バリア層37の上に堆積される。
前記誘電体層25と埋め込まれた誘電体材料36は、好ましくは、同じ材料からなる。
キャップ層26は、所望ならば、誘電体層25の上に堆積される。このキャップ層は、SiC(N)、酸化TEOS、酸化シランなどの酸化物型の材料またはSiC(N)などの窒化物型の材料から構成されてもよい。
次に、ホール(孔)、より詳細には「部分的」ビアホール34、が、通常のパターニング技法を用いて誘電体層25の中に形成される。ここで、フォトリソグラフィと、それに続く異方的ドライエッチ処理を用いて、フォトレジスト層の中のパターンを、キャップ層26と誘電体層25(図示しない)に転写する。
中間誘電バリア層37は、異方的ドライエッチのあいだエットストップ層として使用して、「部分的な」ビアホール34を作る。
通常のストリップ処理は、残留物が確かに残らないようにする(湿式)クリーニング処理と組み合わされて、残っているフォトレジストとエッチ残留物を除去するために使用される。
次に、ギャップ充填材料37は、少なくともホールの完全な充填が達成されるように、ビアホール34の中に堆積される。
好ましくは、ギャップ充填材料27は、一般的に使用されるBARC(底面反射防止コーティング)材料など(前に述べたように)の有機スピン・オン材料である。
次に、過剰のギャップ充填材料27は、そのレベルがキャップ層26のレベルに等しいように除去される。
過剰のギャップ充填材料27の除去は、好ましくは、ドライエッチ処理により行われ、これはエッチバックともいう。
続いて、後の処理でフォトレジスト汚染を防止するための拡散バリア層28が堆積される。本発明の拡散バリア層28は、ハードマスク層であり、より好ましくは金属ハードマスク層である。金属ハードマスク層の例は、TaN、TiN、Ta、TaSiN、TiSiN、TiWおよびWNである。
次に、結像材料(単数または複数)または感光性層(フォトレジスト層30ともし存在すれば反射防止コーティング29)が堆積され、ビアの上にトレンチを区画するためのパターンが結像材料に転写される。
結像材料は、少なくとも1つのフォトレジスト層30と、所望ならば少なくとも1つの反射防止コーティング29(たとえば底部反射防止コーティングすなわちBARC)からなる。好ましくは、前記の結像材料は、少なくとも1つのフォトレジスト層30と、少なくとも1つの反射防止コーティング29(たとえば底部反射防止コーティングすなわちBARC)からなる。
ハードマスク層28とおそらくさらにキャップ層26に開口を設けた後に、結像材料とギャップ充填材料27は、ストリップ処理を用いて除去される。
このストリップ処理は、たとえば、SF/Oドライエッチ・プラズマを用いている。
好ましくは、結像材料(フォトレジスト層30ともし存在すれば反射防止コーティング29)とビアの中のギャップ充填材料27の除去が同時に行われる。
ビアの上でのトレンチのドライエッチの前のギャップ充填材料27と結像材料の完全な除去により、トレンチの可能な側壁損傷の第1原因が避けられる。
誘電材料の中の第2の開口31すなわち「トレンチ」をエッチするために、ハードマスクの方に選択的である異方的ドライエッチ処理が使用され、好ましくは、中間的誘電体バリア層37がエッチストップ層として使用される。
トレンチのパターニングと同時に、部分的なビアは、さらに、誘電体エッチストップ層24を、そのビアのためのエッチストップ層として用いることにより完全なビアが得られるように、さらにパターンが形成される。
誘電体層25の中にトレンチ31をパターンを形成するため、また、埋め込まれた誘電体層36の中に完全なビア35をパターンを形成するために使用されるドライエッチ処理は、さらに、金属ハードマスク層28の方に選択的なエッチ処理として特徴づけられる。
このエッチ処理のエッチ用化学薬品は、さらに、誘電体材料25へのプラズマ損傷を避けまたは少なくとも最小にするように特徴づけられる。
好ましくは、このプラズマは、フルオロカーボン化合物(C化合物)を含む無酸素プラズマであり、より好ましくは、このC化合物はCである。
このエッチプラズマは、たとえば、さらに窒素を含み、アルゴンなどの不活性加工物を含んでいてもよい。
Cu拡散バリア層は、トレンチとビアの開口の中に堆積され、周囲の誘電体(低k)の中での銅の移動を防止する。
一般的に使用されるTa(N)、Ti(N)、WNCなどの銅拡散バリア層12がここで使用できる。
続いてトレンチ31とビア35は銅で電気めっきされる。次に、過剰の銅、過剰の銅拡散バリア層および(金属)ハードマスク層の残りの部分は、通常の化学的機械的研磨を用いて除去される。
上述の方法と別の方法では、過剰のギャップ充填材料27は、化学的機械的研磨(CMP)を用いて、キャップ層のレベルに等しくなるように除去される。
上述の方法と別の方法では、結像材料(フォトレジスト及びもし存在すればBARC)の除去(ストリップ)は、(ギャップ充填材料の種類と組成に依存して)ギャップ充填材料の除去の前に行われる。
上述の方法と別の方法では、誘電材料25の中の第2の開口すなわちトレンチ31のエッチと結像材料(層30及びもし存在すれば層29、それぞれフォトレジスト層とBARC層という)の除去は、同時に行われる。また、このトレンチのエッチを行うために使用される異方的エッチ処理は、使用される(金属)ハードマスクの方に選択的である。このエッチ処理のエッチ用化学薬品は、さらに、誘電体材料へのプラズマ損傷を最小にするように特徴づけられる。好ましくは、このプラズマは、水素を含む無酸素プラズマである。不活性化合物などの他の化合物は、このプラズマに追加できる。好ましくは、この不活性化合物は窒素である。好ましくはフルオロカーボン化合物(C化合物)がこのプラズマに追加される。より好ましくは、このC化合物はCFである。好ましくは、CFは5sccmの濃度で追加される。
この処理フローにおけるギャップ充填材料27の除去は、このギャップ充填材料の材料特性に依存してトレンチのエッチのための異方的ドライエッチ処理と同時にまたはその前に行うことができる。
上述の方法と別の方法では、誘電体層25の中での第2の開口すなわちトレンチ31のエッチは、エッチストップ層の上でのエッチプロセス停止の代わりに時間エッチ処理である。その場合、埋め込まれた誘電体層36は必要がなく、また、中間エッチストップ層37(すなわち第2誘電バリア層)も必要がない。

(例1)レジスト汚染を避けトレンチの側壁へのプラズマ損傷を減少する、基板の上でのデュアル・ダマシン構造の処理
本発明で説明された上述の処理フローに基づく方法が、この例において説明される。
トレンチ(第2のホール)のパターニングは、このパターニングの間でのトレンチの側壁損傷を防止するため、酸素なしでCを含む、ハードマスクに選択的なエッチ用プラズマを用いて行った。
レジストは、トレンチのパターニングの前に取り去られた。
デュアル・ダマシンの積層構造は、30nm厚のSiC、340nm厚のSiOC(k値〜3.0)および13nm厚の酸化物キャップからなる。ビア・フォト材料は、100nmのターゲット寸法で、20nm厚のBARC層と220nm厚のレジストを用いて露光された。最小のビア・ピッチは200nmであった。
SiC底層開口を含むビアホール(複数)は、以下のようにエッチされた。
― 10秒。CF/Oの中のBARC開口。
― 15秒。Ar/O/Cの中のビア・エッチ(ステップ1)。
― 20秒。Ar/N/C/CHの中ビア・エッチ(ステップ2)。
― 10秒。C/CFの中のレジスト取り去り。
ビアは、400nm厚の厚いUL(富士フイルム電子材料から市販されているTIS2000bilayerの193nmバージョンの下側の層)を用いて充填された。図8から結論できるように、隙間のないよい充填が得られた。
充填の後で、ビアは、O/SO/CHFプラズマの中で30”ULエッチバック処理を用いて、平坦化された。図9に示されるように、残りのトポグラフィは、(最もきわどい)最も密集したビア構造の上で〜50nmに制限された。
これらのUL平坦化構造の上へのTaN金属ハードマスクの堆積のため、ULベーク温度(215℃)より下に保つことが重要である。50nm厚のTaNの堆積の前に、ウェハ(複数)は150℃で10分間、脱ガスされた。TaN堆積は、100℃のチャック(chuck)温度で行われ、Ar衝撃により予期される温度上昇は50℃より低いと予想される。
TaNキャップ処理の後で、ウェハは、上面SEMで検査されたが、層間剥離や他の明白な問題は観察されなかった。
しかし、平坦化の後で、十分なトポグラフィが2mm幅のアテナ・アライメント・マーカーの上に残された(ASML/1000ステッパが露光のために使用された)。このため、(不透明)TaNハードマスクの上でのトレンチ・フォト材料のアライメントは、アライメント・マークの追加の形成なしで可能であった。
金属ハードマスクの上でのトレンチのフォト材料は、35nm厚のBARCと220nm厚のレジストを用いて露光された。この露光は、図10に示されるように、120nm幅/240nmピッチのビアリンクを目標にされた。平均+3sトレンチ対ビアのミスアライメント誤差は〜25nmであり、これは50nmの使用限界より十分小さかった。このよいアライメント精度はさらに図11に示される。
(酸素を含む)エッチ用プラズマとストリップ用プラズマにより生じる低kフィールドおよび側壁損傷を最小にするため、金属ハードマスクを用いたトレンチのパターニングのシーケンスが以下のように使用された。
― 60秒。HBrの中でのレジスト処理。
― 13秒。HBr/Oの中でのBARC開口。
― 35秒。Clの中でのTaNハードマスクの開口。
― 25秒。SF/Oの中でのレジストの取り去り。
― 40秒。Ar/C/N(酸素なし)の中でのSiOCエッチ。
図12は、金属ハードマスクの開口とレジストの除去の後でのSEM断面を示す。約75%のビア・プラグが、この段階で、ULで満たされて残っている。
上に説明したようにデュアル・ダマシン・エッチ・シーケンスが完了した後で、(図13に示されるように)上面SEMが撮影され、よい挙動のデュアル・ダマシン・プロファイルを示した。TaNキャップは、後で、CMP処理の間に除去される。
結論として、デュアル・ダマシン・パターニング・アプローチが、以下の効果を持って、達成された。
− TaNハードマスクがすべてのアミンをブロックするので、レジスト汚染が避けられる。
− トレンチのエッチは、金属ハードマスクを基にしていて(選択的であって)、これにより、取り去り用プラズマによる低kの損傷を避け、(無酸素)トレンチ用化学物質の選択のための自由度を増している。こうして、パターニングの後での有効k値が基の値に非常に近いことが示された。
− トレンチのフォト材料のための金属加工が避けられる。
ビア・ファースト・アプローチに基づく本発明の異なる処理ステップを用いてデュアル・ダマシン構造のパターンを形成する方法を示す図 ビア・ファースト・アプローチに基づく本発明の異なる処理ステップを用いてデュアル・ダマシン構造にパターンを形成する方法を示す図 ビア・ファースト・アプローチに基づく本発明の異なる処理ステップを用いてデュアル・ダマシン構造にパターンを形成する方法を示す図 ビア・ファースト・アプローチに基づく本発明の異なる処理ステップを用いてデュアル・ダマシン構造にパターンを形成する方法を示す図 ビア・ファースト・アプローチに基づく本発明の異なる処理ステップを用いてデュアル・ダマシン構造にパターンを形成する方法を示す図 ビア・ファースト・アプローチに基づく本発明の異なる処理ステップを用いてデュアル・ダマシン構造にパターンを形成する方法を示す図 ビア・ファースト・アプローチに基づく本発明の異なる処理ステップを用いてデュアル・ダマシン構造にパターンを形成する方法を示す図 ビア・ファースト・アプローチに基づく本発明の異なる処理ステップを用いてデュアル・ダマシン構造にパターンを形成する方法を示す図 ビア・ファースト・アプローチに基づく本発明の異なる処理ステップを用いてデュアル・ダマシン構造にパターンを形成する方法を示す図 ビア・ファースト・アプローチに基づく本発明の異なる処理ステップを用いてデュアル・ダマシン構造にパターンを形成する方法を示す図 ビア・ファースト・アプローチに基づく本発明の異なる処理ステップを用いてデュアル・ダマシン構造にパターンを形成する方法を示す図 ビア・ファースト・アプローチに基づく本発明の異なる処理ステップを用いてデュアル・ダマシン構造にパターンを形成する方法を示す図 本発明の好ましい実施の形態の異なる処理ステップを表すフローチャート 本発明の好ましい別の実施の形態の異なる処理ステップを表す別のフローチャート(ビアの底でのSiC層の開口は、トレンチのパターニングの前に開かれている) 本発明の好ましい別の実施の形態の異なる処理ステップを表す別のフローチャート(ギャップ充填材料は、化学的機械的研磨を用いて平坦化される) 本発明の好ましい別の実施の形態の異なる処理ステップを表す別のフローチャート(フォトレジストは、ビアの中のギャップ充填材料の除去の前に取り除かれる) 本発明の好ましい別の実施の形態の異なる処理ステップを表す別のフローチャート(フォトレジストとトレンチのパターニングは同時に実行される) 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 中間誘電体バリアを用いて部分的ビア・ファースト・アプローチに基づき本発明の異なる処理ステップを用いたデュアル・ダマシン構造のパターニングの方法を表す図 400nmのULの充填のあとでのSiOC材料でエッチされた100nmの寸法のビアの断面SEM像の図 ULエッチバック処理の後での平坦化ビアの傾けた上面図 トレンチ(第2のホール)のフォトリソグラフ・パターニングの後での上面SEM像の図 金属加工なしで得られた良い金属2−ビア・アライメントを示す図 金属ハードマスクの開口と取り除き処理の後の断面SEM写真の図 本発明の完全デュアル・ダマシン・パターニングの後の上面のSEM像の図
符号の説明
5 誘電体層、 6 キャップ層、 7 ギャップ充填材料、 8 金属ハードマスク層、 9,10 結像材料、 11 第2のホール、 12 Cu拡散バリア層12、 13 銅、 14 第1のホール、 22 銅パターン、 21 誘電体層、 24 誘電体エッチストップ層、 25 誘電体材料層、 36 誘電体材料層、 37 第2の誘電体層。

Claims (21)

  1. デュアル・ダマシン構造のパターニングの方法であって、
    基板の上に誘電体層(5)を堆積し、
    所望ならば、この誘電体層(5)の上にキャップ層(6)を堆積し、
    このキャップ層(6)と前記誘電体層(5)に第1のホールをエッチし、
    前記第1のホール(14)が完全に充填されるようにギャップ充填材料を堆積し、
    前記ギャップ充填材料(7)のレベルが前記誘電体層(5)のレベルまたは前記キャップ層(6)のレベルに等しくなるように、前記ギャップ充填材料(7)を部分的に除去し、
    前記誘電体層(5)の上に、または、もし存在していれば前記キャップ層(6)の上に、金属ハードマスク層(8)を堆積し、
    金属ハードマスク層(8)の上に、結像材料(9,10)を堆積し、
    前記結像材料(9,10)の中に少なくとも1つの第1のパターンを形成し、
    前記ハードマスク層(8)の中に前記パターンを転写し、
    前記結像材料(9,10)を除去し、
    前記ギャップ充填材料(7)を除去し、
    前記誘電体層(5)において前記第1のホール(14)の上に第2のホール(11)をエッチする工程を含み、
    前記結像材料(10および所望ならば9)の除去と、前記ギャップ充填材料(7)の除去と、前記第2のホール(11)のエッチとが1ステップで実行される方法。
  2. 前記第1のホール(14)がビアであり、前記第2のホールがトレンチであることを特徴とする請求項1に記載された方法。
  3. 前記基板は、単独ダマシン・インターコネクト構造のパターンが形成された構造からなることを特徴とする請求項1に記載された方法。
  4. さらに、誘電体層(5)の堆積の前に、前記基板の上に誘電体バリア層(4)を堆積することを特徴とする請求項1に記載された方法。
  5. 前記ギャップ充填材料(7)の一部の除去は、ドライエッチ処理または化学的機械的研磨により行われることを特徴とする請求項1に記載された方法。
  6. 前記誘電体層において前記第1のホール(14)の上に前記第2のホール(11)をエッチした後で、さらに、銅拡散バリア層(12)と所望ならば銅シード層を、前記第1のホール(14)及び第2のホール(11)の側壁の上に堆積し、前記第1のホール(14)と第2のホール(11)の中に銅をめっきすることを特徴とする請求項1に記載された方法。
  7. 銅をめっきした後で、さらに、化学的機械的研磨を用いて、過剰の銅、および、この過剰の銅の下にある前記銅バリア層、銅シード層および残りの金属ハードマスク層を除去することを特徴とする請求項6に記載された方法。
  8. 前記誘電体材料(5)が、孔を有し、シリコンを含む誘電体材料であることを特徴とする請求項1に記載された方法。
  9. 前記誘電体材料(5)が、SiCO(H)材料であることを特徴とする請求項8に記載された方法。
  10. 前記ギャップ充填材料(7)が、少なくとも1つの有機化合物からなることを特徴とする請求項1に記載された方法。
  11. 前記有機化合物が、底部反射防止コーティング材料、ポリアリルスルフォン、ポリハイドロキシスチレンを基にした誘導体、ポリイミド、ポリエーテル特にポリアリレンエーテル、ポリ硫化アリレン、ポリ炭酸塩、エポキシ、エポキシアクル酸塩、ポリフェニレンなどのポリアリレン、ポリフェニレンビニレンなどのポリアリレンビニレン、ポリビニルカルバゾル、環式オレフィン及びポリエステルの中から選択されることを特徴とする請求項10に記載された方法。
  12. 前記金属ハードマスク層(8)がTaN層、TiN層、TaSiN層、TiSiN層、TiW層およびWN層の中から選択されることを特徴とする請求項1に記載された方法。
  13. 前記第2のホール(11)をエッチするときに、フルオロカーボンを含む無酸素プラズマを使用することを特徴とする請求項1に記載された方法。
  14. 前記フルオロカーボンがCであることを特徴とする請求項13に記載された方法。
  15. 前記無酸素プラズマがさらに窒素および/またはアルゴンなどの不活性化合物を含むことを特徴とする請求項13に記載された方法。
  16. 前記結像材料(9,10)の除去と、前記ギャップ充填材料(7)の除去と、前記第2のホール(11)のエッチとが、水素を含む無酸素プラズマを使用することを特徴とする請求項1に記載された方法。
  17. 水素を含む前記無酸素プラズマがさらにフルオロカーボン化合物を含むことを特徴とする請求項16に記載された方法。
  18. 前記フルオロカーボンがCFであることを特徴とする請求項17に記載された方法。
  19. 水素を含む前記無酸素プラズマがさらに窒素を含むことを特徴とする請求項17に記載された方法。
  20. フル・ビア・ファースト・パターニング法における請求項1に記載された方法の使用。
  21. パーシャル・ビア・ファースト・パターニング法における請求項1に記載された方法の使用。
JP2006105610A 2005-04-11 2006-04-06 デュアル・ダマシン・パターニング・アプローチ Expired - Fee Related JP5186086B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US66997305P 2005-04-11 2005-04-11
US60/669,973 2005-04-11
EP05447167.7A EP1646083B1 (en) 2004-10-08 2005-07-08 Alternative dual damascene patterning approach
EP05447167 2005-07-08

Publications (2)

Publication Number Publication Date
JP2006295171A JP2006295171A (ja) 2006-10-26
JP5186086B2 true JP5186086B2 (ja) 2013-04-17

Family

ID=37415336

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006105610A Expired - Fee Related JP5186086B2 (ja) 2005-04-11 2006-04-06 デュアル・ダマシン・パターニング・アプローチ

Country Status (2)

Country Link
US (1) US7611986B2 (ja)
JP (1) JP5186086B2 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006147771A (ja) * 2004-11-18 2006-06-08 Oki Electric Ind Co Ltd 強誘電体メモリ及びその製造方法
US7521358B2 (en) * 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
KR100858882B1 (ko) * 2007-03-19 2008-09-17 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US7884019B2 (en) * 2007-06-07 2011-02-08 Texas Instruments Incorporated Poison-free and low ULK damage integration scheme for damascene interconnects
JP5067039B2 (ja) * 2007-06-25 2012-11-07 パナソニック株式会社 半導体装置の製造方法
US7781332B2 (en) * 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US7800108B2 (en) 2007-11-30 2010-09-21 Nec Electronics Corporation Semiconductor device and method of manufacturing semiconductor device including optical test pattern above a light shielding film
EP2194574B1 (en) * 2008-12-02 2018-11-07 IMEC vzw Method for producing interconnect structures for integrated circuits
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
JP2012074608A (ja) * 2010-09-29 2012-04-12 Tokyo Electron Ltd 配線形成方法
US20120100716A1 (en) * 2010-10-20 2012-04-26 Globalfoundries Singapore Pte., Ltd Method to improve reliability (EM and TDDB) with post silylation plasma treatment process for copper damascene structures
US8796150B2 (en) * 2011-01-24 2014-08-05 International Business Machines Corporation Bilayer trench first hardmask structure and process for reduced defectivity
US8383510B2 (en) * 2011-03-04 2013-02-26 Globalfoundries Inc. Semiconductor device comprising metallization layers of reduced interlayer capacitance by reducing the amount of etch stop materials
CN102420169A (zh) * 2011-05-13 2012-04-18 上海华力微电子有限公司 通孔填充牺牲材料的超厚顶层金属双大马士革工艺
US9190316B2 (en) 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
KR20140083696A (ko) * 2012-12-26 2014-07-04 제일모직주식회사 반도체 소자의 듀얼 다마신 구조 형성 방법 및 그에 따른 반도체 소자 디바이스
US9006106B2 (en) * 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US9793156B1 (en) * 2016-09-12 2017-10-17 International Business Machines Corporation Self-aligned low resistance metallic interconnect structures
US10734278B2 (en) * 2018-06-15 2020-08-04 Tokyo Electron Limited Method of protecting low-K layers
CN108962873B (zh) * 2018-09-04 2023-07-04 长鑫存储技术有限公司 复合双大马士革结构及其制备方法
CN109920776A (zh) * 2019-03-11 2019-06-21 德淮半导体有限公司 半导体工艺中用作牺牲材料的组合物及利用组合物的方法
FR3100377A1 (fr) * 2019-08-30 2021-03-05 Commissariat A L'energie Atomique Et Aux Energies Alternatives Prise de contact sur du germanium
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition
CN112687537B (zh) * 2020-12-17 2024-05-17 北京北方华创微电子装备有限公司 金属硬掩膜刻蚀方法
CN113394080A (zh) * 2021-05-10 2021-09-14 上海华力集成电路制造有限公司 双重图形工艺减少光刻胶中毒的方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
WO2000079586A1 (fr) * 1999-06-24 2000-12-28 Hitachi, Ltd. Procede de production de dispositif a circuit integre semi-conducteur et dispositif a circuit integre semi-conducteur
KR100346830B1 (ko) * 1999-09-29 2002-08-03 삼성전자 주식회사 반도체장치의 전기적 연결 배선 제조방법
JP2001358218A (ja) * 2000-04-13 2001-12-26 Canon Inc 有機膜のエッチング方法及び素子の製造方法
US6797633B2 (en) 2000-11-09 2004-09-28 Texas Instruments Incorporated In-situ plasma ash/treatment after via etch of low-k films for poison-free dual damascene trench patterning
US6455409B1 (en) * 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Damascene processing using a silicon carbide hard mask
US6861347B2 (en) * 2001-05-17 2005-03-01 Samsung Electronics Co., Ltd. Method for forming metal wiring layer of semiconductor device
US6713386B1 (en) 2001-12-19 2004-03-30 Lsi Logic Corporation Method of preventing resist poisoning in dual damascene structures
US6638871B2 (en) * 2002-01-10 2003-10-28 United Microlectronics Corp. Method for forming openings in low dielectric constant material layer
US6645864B1 (en) 2002-02-05 2003-11-11 Taiwan Semiconductor Manufacturing Company Physical vapor deposition of an amorphous silicon liner to eliminate resist poisoning
JP4243099B2 (ja) * 2002-05-17 2009-03-25 三星電子株式会社 半導体素子の金属配線形成方法
JP2004023030A (ja) * 2002-06-20 2004-01-22 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7109119B2 (en) * 2002-10-31 2006-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Scum solution for chemically amplified resist patterning in cu/low k dual damascene
US7129162B2 (en) 2002-12-30 2006-10-31 Texas Instruments Incorporated Dual cap layer in damascene interconnection processes
JP2004273483A (ja) * 2003-03-05 2004-09-30 Sanyo Electric Co Ltd 配線構造の形成方法
US7030031B2 (en) * 2003-06-24 2006-04-18 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
JP4681217B2 (ja) * 2003-08-28 2011-05-11 株式会社アルバック 層間絶縁膜のドライエッチング方法
JP2007537602A (ja) * 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
KR100615088B1 (ko) * 2004-07-05 2006-08-22 삼성전자주식회사 이중 다마신 공정을 사용하여 비아콘택 구조체를 형성하는방법
US7196002B2 (en) * 2004-08-09 2007-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making dual damascene with via etch through

Also Published As

Publication number Publication date
US20060264033A1 (en) 2006-11-23
US7611986B2 (en) 2009-11-03
JP2006295171A (ja) 2006-10-26

Similar Documents

Publication Publication Date Title
JP5186086B2 (ja) デュアル・ダマシン・パターニング・アプローチ
JP4763600B2 (ja) エッチング・パターンを形成する方法及びデュアル・ダマシン相互接続構造体を形成する方法
US6720132B2 (en) Bi-layer photoresist dry development and reactive ion etch method
US6225217B1 (en) Method of manufacturing semiconductor device having multilayer wiring
US7291553B2 (en) Method for forming dual damascene with improved etch profiles
US7115993B2 (en) Structure comprising amorphous carbon film and method of forming thereof
US7129162B2 (en) Dual cap layer in damascene interconnection processes
US6740566B2 (en) Ultra-thin resist shallow trench process using high selectivity nitride etch
US7199046B2 (en) Structure comprising tunable anti-reflective coating and method of forming thereof
US7067235B2 (en) Bi-layer photoresist dry development and reactive ion etch method
US20060246717A1 (en) Method for fabricating a dual damascene and polymer removal
US7939446B1 (en) Process for reversing tone of patterns on integerated circuit and structural process for nanoscale fabrication
TWI423330B (zh) 損壞之多重堆疊雙層膜的選擇性移除方法
US7067435B2 (en) Method for etch-stop layer etching during damascene dielectric etching with low polymerization
JP4104426B2 (ja) 半導体装置の製造方法
US20060134909A1 (en) Method for fabricating semiconductor device
KR20050007004A (ko) 반도체 소자의 금속배선층 형성방법
EP1646083B1 (en) Alternative dual damascene patterning approach
US7192880B2 (en) Method for line etch roughness (LER) reduction for low-k interconnect damascene trench etching
KR100539446B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
KR101005738B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
KR101138082B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
KR20040056024A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080122

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081118

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120221

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120911

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130121

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160125

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees