JP2019530230A - 高アスペクト比構造のためのストリッププロセス - Google Patents

高アスペクト比構造のためのストリッププロセス Download PDF

Info

Publication number
JP2019530230A
JP2019530230A JP2019514106A JP2019514106A JP2019530230A JP 2019530230 A JP2019530230 A JP 2019530230A JP 2019514106 A JP2019514106 A JP 2019514106A JP 2019514106 A JP2019514106 A JP 2019514106A JP 2019530230 A JP2019530230 A JP 2019530230A
Authority
JP
Japan
Prior art keywords
polymer layer
substrate
aspect ratio
high aspect
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019514106A
Other languages
English (en)
Other versions
JP6861802B2 (ja
Inventor
エム. ヴァニアプラ ヴィジェイ
エム. ヴァニアプラ ヴィジェイ
マ シャウミン
マ シャウミン
ホウ リ
ホウ リ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Publication of JP2019530230A publication Critical patent/JP2019530230A/ja
Application granted granted Critical
Publication of JP6861802B2 publication Critical patent/JP6861802B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0014Cleaning by methods not provided for in a single other subclass or a single group in this subclass by incorporation in a layer which is removed with the contaminants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Laminated Bodies (AREA)

Abstract

高アスペクト比構造を有する基板からマスク層(例えば、ドープトアモルファスカーボンマスク層)を除去するための方法が提供される。実施の一例では、該方法は、基板上の高アスペクト比構造の上端の少なくとも一部にポリマー層を堆積することを含み得る。該方法は、プラズマストリッププロセスを用いて、基板からポリマー層およびドープトアモルファスカーボン膜の少なくとも一部を除去することをさらに含み得る。例示的な実施態様では、ポリマー層を堆積することは、1つ以上の高アスペクト比構造を前記ポリマー層で塞ぐことを含み得る。例示的な実施態様では、ポリマー層を堆積することは、1つ以上の高アスペクト比構造の側壁にポリマー層を形成することを含み得る。

Description

優先権の主張
本出願は、2016年9月14日に出願された、“Strip Process for High Aspect Ratio Structure”と題され、参照により本明細書に組み込まれた、米国特許仮出願第62/394,242号明細書(U.S. Provisional Application Serial No. 62/394,242)の優先権の利益を主張する。本出願は、2017年2月9日に出願された、“Strip Process for High Aspect Ratio Structure”と題され、参照により本明細書に組み込まれた、米国特許仮出願第62/456,911号明細書(U.S. Provisional Application Serial No. 62/456,911)の優先権の利益を主張する。
発明の属する技術分野
本開示は、概してマスク材料の除去に関し、より詳細には、高アスペクト比構造を有する半導体材料からマスク材料を除去するための方法に関する。
背景
アモルファスカーボン層(ACL)またはパターン画定膜(PDF)などのカーボン含有ハードマスクは、DRAMまたは3D NANDデバイスなどの高アスペクト比構造をエッチングするためのマスキング材料としての使用(例えば、DRQAMでのOCSエッチングまたは3D NANDでの高アスペクト比コンタクトエッチングなどの用途)に広く適している。高アスペクト比構造を用いるエッチングプロセスまたはストリッププロセスは、いくつかの課題をもたらし得る。
例えば、基板は、高アスペクト比構造を有するパターン化領域と、高アスペクト比構造を有していない非パターン化領域とを含み得る。エッチング後に実施されるストリッププロセスでは、ウェットクリーニングの前に、パターン化領域および非パターン化領域の両方でカーボンハードマスクを除去することが要求され得る。しかしながら、例えば、パターンの近接効果やイオン衝撃誘電体プラズマエッチング等による強いマスク侵食のために、パターン化領域のエッチング後に残っているマスクは、非パターン化領域よりはるかに薄くなり得る。その結果、基板上のあらゆる場所でカーボンマスクをきれいに除去することを目的としたプロセス中に、パターン化領域では、非パターン化領域よりもはるかに長いオーバーストリップが行われ得る。
高アスペクト比構造のエッチングプロセス中に、より高いマスク選択性を有することが、ますます要求されるようになっている。したがって、ドーパント(例えば、ホウ素)をカーボンマスク材料に添加して、ドープトアモルファスカーボン(DaC)膜を作製してマスク選択性を高めることができる。しかしながら、従来のストリッププロセスでは、DaC膜のマスク除去率は、従来のストリッププロセスを使用する従来のアモルファスカーボン膜で達成できる除去率よりもはるかに低くなっている。
概要
本開示の実施形態の態様および利点は、以下の詳細な説明に部分的に記載されることになるか、あるいは詳細な説明から学ぶか、または実施形態の実施を通じて学んでもよい。
本開示の1つの例示的な態様は、高アスペクト比構造を有する基板からドープトアモルファスカーボン膜の少なくとも一部を除去するためのストリップ方法に関する。このストリップ方法は、基板上の高アスペクト比構造の上端の少なくとも一部にポリマー層を堆積すること;およびプラズマストリッププロセスを用いて、基板からポリマー層およびドープトアモルファスカーボン層の少なくとも一部を除去することを含み得る。
本開示の他の例示的な態様は、高アスペクト比構造を有する基板からマスク層の少なくとも一部を除去するためにストリッププロセスを実施するためのシステム、方法、プロセス、および装置に関する。
様々な実施形態のこれらおよび他の特徴、態様および利点は、以下の説明および添付の特許請求の範囲を参照することによってよりよく理解されることになる。本明細書に組み込まれてその一部を構成する添付の図面は、本開示の実施形態を例示し、その説明とともに、関連する原理を説明するのに役立つ。
当業者に向けられた実施形態の詳細な説明は、添付の図面を参照する本明細書に記載されている。
図1は、カーボンハードマスクのポストエッチングストリッププロセスの一例を示す。 図2(a)、2(b)、2(c)および2(d)は、本開示の例示的な実施形態による例示的なストリッププロセスを示す。 図3は、本開示の例示的な実施形態による例示的なストリッププロセスを示す。 図4は、本開示の例示的な実施形態によるストリッププロセスを実施するための例示的なプラズマ処理装置を示す。 図5は、本開示の例示的な実施形態によるマスク層を除去するための例示的なプロセスの流れ図を示す。 図6は、本開示の例示的な実施形態によるマスク層を除去するための例示的なプロセスの流れ図を示す。
発明の詳細な説明
ここで実施形態を詳細に参照することになるが、その1つ以上の例が、図面に例示されている。それぞれの例は、実施形態の説明として提供されており、本開示を限定するものではない。実際には、本開示の範囲または趣旨から逸脱することなく、実施形態に対して様々な修正および変形を加えることができることが当業者に明らかになる。例えば、一実施形態の一部として図示または説明されている特徴を、別の実施形態と共に使用して、さらに別の実施形態を生み出すことができる。したがって、本開示の態様が、このような修正および変形を包含することが意図されている。
本開示の例示的な態様は、高アスペクト比構造を有する基板の処理中にハードマスク層を除去するために使用され得るポストエッチングストリッププロセスに関する。カーボン含有ハードマスクは、高アスペクト比の誘電体エッチングプロセス(例えば、DRAMおよび3D NANDの両方)に広く使用されている。しかしながら、誘電体エッチングプロセス後にハードマスクをストリップし、ストリッププロセス中に高アスペクト比構造のエッチングされた構造を損傷しないようにすることは困難なこともあり得る。さらに、ドープトアモルファスカーボンハードマスクの使用は、ストリッププロセス中にハードマスクとその下の層(例えば、高アスペクト比構造の多層窒化物および酸化物)との間の良好な選択性を有すると同時に十分に速くカーボンハードマスクを除去することを困難にし得る。
本開示の例示的な態様によれば、ハードマスク層を除去するためのポストエッチングストリッププロセスは、高アスペクト比構造の側壁および他の表面を保護するために高アスペクト比構造の内側に炭素含有ポリマーを堆積させることを含み得る。次に、基板が、ハードマスクを除去するためにプラズマストリッププロセスに曝され得る。その後、基板は、処理され、堆積したポリマーを除去してチャンバを清浄にすることができる。このようにして、ハードマスクと高アスペクト比構造の下層膜との間のストリッププロセスの選択性が低下し得る。
本開示の態様は、例示および説明の目的で、基板としての「ウェハ」または半導体ウェハを参照して説明される。当業者は、本明細書に提供される開示を使用して、本開示の例示的な態様が任意の半導体基板または他の適切な基板と関連して使用され得ることを理解することになる。また、数値との関連で用語「約」の使用は、記載されている数値の10%以内を指すことが意図されている。
さらに、本開示の態様は、ドープトアモルファスカーボンマスク層の除去に関して説明される。当業者は、本明細書に提供される開示を使用して、本開示の範囲から逸脱することなく本技術の態様が他のマスク層の除去に適用可能であり得ることを理解することになる。
本開示の例示的な実施形態の1つは、高アスペクト比構造を有する基板からドープトアモルファスカーボン膜の少なくとも一部を除去するための方法に関する。この方法は、基板上の高アスペクト比構造の上端の少なくとも一部にポリマー層を堆積することを含む。この方法は、プラズマストリッププロセスを用いて、基板からポリマー層およびドープトアモルファスカーボン膜の少なくとも一部を除去することを含む。
いくつかの実施形態では、ポリマー層を堆積することは、1つ以上の高アスペクト比構造をポリマー層で塞ぐことを含み得る。いくつかの実施形態では、ポリマー層を堆積させることは、1つ以上の高アスペクト比構造の側壁上にポリマー層を形成することを含み得る。
ポリマー層は、CHF、H、N、Arまたはそれらの組み合わせのうちの1つ以上を用いたポリマー堆積を用いて形成され得る。ポリマー層は、任意のHまたは任意のCx1y1と組み合わせたガスC(ここでx、y、z、x1、およびy1は、整数である)を用いて形成され得る。ポリマー層は、約10ミリトル〜約5トルの範囲の圧力で形成され得る。
いくつかの実施形態では、ポリマー層を堆積させることは、エッチングチャンバ内で行われ、ポリマー層およびドープトアモルファスカーボン膜の少なくとも一部を除去することはストリップチャンバ内で行われる。いくつかの実施形態では、ポリマー層を堆積させ、ポリマー層およびドープトアモルファスカーボン膜の少なくとも一部を除去することは、同じチャンバ内で行われる。いくつかの実施形態では、ポリマー層を堆積させること、およびポリマー層およびドープトアモルファスカーボン膜の少なくとも一部を除去することは、ドープトアモルファスカーボン層が基板から除去されるまで繰り返される。
いくつかの実施形態では、プラズマストリッププロセスは、酸素含有ガス、ハロゲン含有ガス、および水素を含む還元性ガスの混合物を使用して形成されたプラズマを使用し得る。ハロゲン含有ガスに対する還元性ガスの比は、約0.001〜約3の範囲内にあり得る。
本開示の別の例示的な実施形態は、1つ以上の高アスペクト比構造を有するパターン化領域を有する基板からマスク層の少なくとも一部を除去するための方法に関する。この方法は、プラズマ処理装置(例えば、ストリップチャンバ)において基板ホルダ上に基板を配置することを含む。この方法は、プラズマ処理装置内でポリマー堆積プロセスを実施して、基板のパターン化領域の少なくとも一部にポリマー層を堆積することを含む。プラズマ処理装置にてプラズマストリッププロセスを行うことで、ポリマー層の少なくとも一部およびマスク層の少なくとも一部が基板から除去される。
いくつかの実施形態では、ポリマー堆積プロセスによって、1つ以上の高アスペクト比構造が、ポリマー層で塞がれる。いくつかの実施形態では、ポリマー堆積プロセスによって、1つ以上の高アスペクト比構造の側壁にポリマー層が形成される。
ポリマー層は、CHF、H、N、Arまたはそれらの組み合わせのうちの1つ以上を用いたポリマー堆積を用いて形成され得る。ポリマー層は、任意のHまたは任意のCx1y1と組み合わせたガスC(ここでx、y、z、x1、およびy1は、整数である)を用いて形成され得る。ポリマー層は、約10ミリトル〜約5トルの範囲の圧力で形成され得る。
本開示の別の例示的な実施形態は、1つ以上の高アスペクト比構造を有するパターン化領域を有する基板からマスク層の少なくとも一部を除去するための方法に関する。この方法は、第1のプラズマ処理装置に基板を配置することを含む。この方法は、第1のプラズマ処理装置内でポリマー堆積プロセスを実施して、基板のパターン化領域の少なくとも一部にポリマー層を堆積することを含む。この方法は、基板を第2のプラズマ処理装置に移送することを含む。この方法は、第2のプラズマ処理装置でプラズマストリッププロセスを実施して、ポリマー層の少なくとも一部およびマスク層の少なくとも一部を基板から除去することを含む。いくつかの実施形態では、第1のプラズマ処理装置は、エッチングチャンバを含む。第2のプラズマ処理装置は、ストリップチャンバを含む。
ここで図面を参照すると、本開示の例示的な実施形態が、ここで詳細に説明されることになる。図1は、高アスペクト比構造を有するパターン化領域22および高アスペクト比構造を有していない非パターン化領域24を含む基板20上のカーボンハードマスク25のポストエッチングストリッププロセスの一例を示す。図1に示すように、誘電体エッチングプロセス後に非パターン化領域24に残るカーボンハードマスク25は、高アスペクト比構造を含むパターン化領域22に残るカーボンハードマスク25よりも厚い。したがって、ストリッププロセス中に基板20の全領域からハードマスク25を除去するために、パターン化領域22では、ストリッププロセス中にはるかに長いオーバーストリップが行われ得る。
プラズマエッチングプロセス中により高いマスク選択性を得るために、ドープトアモルファスカーボンハードマスク(例えば、ホウ素ドープトアモルファスカーボンハードマスク)が使用され得る。ストリッププロセス中のマスク除去の例示的な要件では、毎分5000オングストロームを上回ることが要求され得る。このような要件は、CFを、O/NまたはH/Nストリップ化学物質に添加することで達成することが可能である。しかしながら、このようなCFを添加した化学物質は、ハードマスクを除去するためのストリッププロセス中に、高アスペクト比構造の下にある酸化物層および窒化物層も損傷し得る。
例えば、パターン化領域上のホウ素ドープトアモルファスカーボンハードマスクをストリッピングした後、非パターン化領域には十分な量のホウ素ドープトアモルファスカーボンハードマスクが残る。その結果、非パターン化領域のドープトアモルファスカーボンハードマスクを除去するために、パターン化領域でのオーバーストリップが要求され得る。例えば、いくつかの実施では、パターン化領域で300%を上回るオーバーストリップを行うことが可能である。このオーバーストリップのため、ストリッププロセス中に酸化物および窒化物の選択性が、非常に厳しく要求され得る。ストリップ選択性の典型的な要件では、パターン化領域が、非パターン化領域に対して500:1を上回る、理想的には1000:1を上回ることが必要である。このような選択性がない場合、下にある高アスペクト比構造が損傷し得る。それにもかかわらず、高アスペクト比のエッチングプロセス中に高性能を有することができても、このような選択性の要件によって、ストリッププロセスが遅くなり、マスク材料として疑問視されるドープトアモルファスカーボン層が使用され得る。
本開示の例示的な態様によれば、基板からハードマスクを除去するためのストリッププロセス中のパターン化領域と非パターン化領域との間の選択性要件は、マスク除去を実施する前に、パターン化領域の高アスペクト比構造の上端部をポリマーで塞ぐか、または該上端部にポリマーを形成することによって低減され得る。より具体的には、CHF、H、N、Ar、またはそれらの組み合わせなどのガスを使用して、10ミリトル〜5トルの範囲の圧力および100℃未満の温度でポリマーを形成することができる。高アスペクト比構造を塞ぐために、パターン化領域の高アスペクト比構造にポリマーを堆積させることができるので、下にある窒化物または酸化物は、ストリッププロセス中の積極的なオーバーストリップ化学物質への曝露時間を短縮することになる。このプロセスは、マスクの大部分が基板上のパターン化領域および非パターン化領域の両方から除去されるまで繰り返され得る。いくつかの実施形態では、ポリマー堆積によって形成された保護層は、ストリップチャンバ内でその場で堆積し、続いて保護層およびマスク層を除去するための積極的なストリッププロセスが実施され得る。いくつかの実施形態では、ポリマー堆積は、誘電体エッチングプロセスの後にエッチングチャンバ内で実施され得る。本開示の例示的な実施形態によれば、基板は、ポリマーおよびハードマスクをストリッピングするためのストリップチャンバに移送され得る。ポリマー堆積は、化学気相成長などの任意の適切な堆積プロセスを用いて実施され得る。
図2は、1つ以上の高アスペクト比構造を有するパターン化領域52および非パターン化領域54を含む、基板50からハードマスク55を除去するためのストリッププロセスの概要を示す。パターン化領域54の高アスペクト比構造は、少なくとも部分的に、シリコン間に挟まれた酸化物および窒化物の交互の層から形成され得る。ハードマスク55は、いくつかの実施形態では、ドープトアモルファスカーボンハードマスク(例えば、ホウ素ドープトアモルファスハードマスク)であり得る。
図2(a)は、誘電体エッチングプロセス後の基板50を示す。図示するように、基板50は、ハードマスク55を含む。ハードマスク55は、パターン化領域52よりも非パターン化領域54上で厚くなっている。図2(b)に示すように、第1の工程は、基板50上にポリマー層60を堆積することを含み得る。ポリマー層60は、ポリマー堆積プロセスを使用して形成されたポリマー層であり得る。図示するように、ポリマー層60は、パターン化領域52内の高アスペクト比構造を、閉塞するか、または塞ぐことがあり得る。
いくつかの実施形態では、ポリマー層60は、ストリッププロセス中にその場で(基板が処理チャンバ内にある間に)基板50上に形成され得る。例えば、CH、H、N、Arまたはそれらの組み合わせなどのガスを、処理チャンバ内に供給して、10ミリトル〜5トルの範囲の圧力でポリマーを形成し、該ポリマーを、ポリマー層60として堆積させると、基板50上のパターン化領域52内の1つ以上の高アスペクト比構造を塞ぎ得る。他の可能なガスが、ポリマー堆積のために使用され得る。例えば、Cは、他のH、C(CH、C、C、C等)のいずれかと結合してポリマー層60を形成し得る。いくつかの実施形態では、ポリマー層は、100ミリトル未満の圧力など、より低い圧力で堆積され得る。例えば、ポリマー層は、100ミリトル未満の圧力で、エッチング処理チャンバ内で堆積され得る。いくつかの実施形態では、ポリマー層は、100℃未満の温度で堆積され得る。
図2(c)に示すように、ポリマー堆積工程に続いて、ドープトアモルファスカーボン層(例えば、ホウ素ドープトアモルファスカーボン層)のストリッププロセスを行って、基板から保護層60およびハードマスク55をゆっくりと除去することができる。いくつかの実施形態では、図2(b)および図2(c)のプロセスは、図2(d)に示すように実質的に全てのハードマスク55が除去されるまで、複数回繰り返され得る。
いくつかの実施形態では、ポリマー層60を堆積する工程は、図2(b)に示すように高アスペクト比構造を塞ぐことを含まないこともある。それどころか、ポリマー層は、図3に示すように高アスペクト比構造の側壁に沿って堆積され得る。ポリマー堆積工程に続いて、ドープトアモルファスカーボン層(例えば、ホウ素ドープトアモルファスカーボン層)のストリッププロセスを行って、基板から保護層60およびハードマスク55をゆっくりと除去することができる。マスク除去のための例示的なストリッププロセスに関する詳細は、以下に提供されている。ポリマー堆積プロセスおよびストリッププロセスは、図2(d)に示すように実質的に全てのハードマスク55が除去されるまで、複数回繰り返され得る。
本開示の例示的な態様によれば、ポリマー堆積プロセスを使用してポリマー層を基板上に設けてから、ハードマスクを基板からストリッピングすることで、基板上のパターン化領域と非パターン化領域との間のストリッププロセスの選択性要件を低減し、かつプロセスウィンドウを拡大することができる。これにより、ドープトアモルファスカーボンハードマスク(例えば、ホウ素ドープトアモルファスカーボンハードマスク)の使用がより実現可能になり得る。ストリッププロセスは、低コストのプラズマストリップチャンバを用いて実施され得る。
本開示の例示的な態様によれば、ポリマー層を堆積させて、ドープトアモルファスカーボンマスク基板を除去することができる方法は、プラズマ反応器内で実施され得る。特定の一実施形態では、下流の誘導結合プラズマ(ICP)源が利用され得る。しかしながら、本開示のマスク除去ストリッププロセスは、マイクロ波下流ストリップ技術または平行板/誘導結合プラズマエッチング技術などの他のプラズマ技術も考慮している。誘導プラズマ源は、半導体基板を処理するための高密度プラズマおよび反応種を生成するためのプラズマ処理によく使用されている。例えば、誘導プラズマ源は、標準の13.56MHz以下の周波数の発電機を使用して、高密度プラズマを容易に生成し得る。RFバイアスと組み合わせた誘導プラズマ源も、例えば、独立に制御されたイオンエネルギーおよびイオンフラックスをウェハに供給するために、エッシャーで使用されてきた。
マスク除去などの特定のプラズマストリッププロセスでは、一般に、半導体ウェハをプラズマに直接曝すことは望ましくない。これに関して、プラズマは、処理チャンバから離れて(例えば下流で)形成することができ、その後、所望の粒子が、例えば中性粒子に対しては透明であり、かつプラズマに対しては透明ではないグリッドを介して、半導体基板に導かれる。このようなプロセスは、高いRF電力(例えば、約6,000ワット(W)まで)を必要とし、場合により、高いガス流量(例えば、1分当たり約20,000標準立方センチメートル(sccm))および高圧(例えば、約5,000ミリトル(mTorr)まで)を必要とし得る。いくつかの実施形態では、ポリマー堆積プロセスは、本開示の例示的な実施形態に従って、エッチングチャンバなどの別個のチャンバ内で行われ、ドープトアモルファスカーボンハードマスクをストリッピングするためのストリップチャンバに移送され得る。
図4は、本開示によって具体化されたプロセスにおいて使用され得る例示的なプラズマ処理装置100を示す。一次的には、流速やRF電力などのパラメータは、ウェハの表面積に比例することが予想されており、例えば、450mmのウェハ(面積1590cm)は、300mmのウェハ(面積707cm)の2.25倍のガス流量および電力を必要とするが、200mmのウェハ(表面積314cm)は、300mmのウェハ(表面積707cm)の0.44倍の流量および電力を必要とする。当業者に知られているであろう、他の適切なプラズマ反応器(これに限定されないが、マイクロ波技術または平行板技術を含む)も、本開示の範囲から逸脱することなく、利用され得ることも理解されるべきである。
図示するように、プラズマ反応器100は、処理チャンバ110と、該処理チャンバ110とは別のプラズマチャンバ120とを含む。処理チャンバ110は、基板ホルダまたはペデスタル112を含み、これらはマスクが除去される基板114を保持するように動作可能である。誘導プラズマが、プラズマチャンバ120(すなわち、プラズマ生成領域)に生成され、次いで、所望の粒子が、プラズマチャンバ120からグリッド116に設けられた穴を通して基板114の表面へ導かれ、グリッド116は、プラズマチャンバ120を処理チャンバ110(すなわち、下流領域)から隔てている。
プラズマチャンバ120は、誘電体側壁122と天井124とを含む。誘電体側壁122および天井124は、プラズマチャンバ内部125を画定する。誘電体側壁122は、石英などの任意の誘電体材料から形成され得る。誘導コイル130は、プラズマチャンバ120の周囲の誘電体側壁122に隣接して配置されている。誘導コイル130は、適切な整合ネットワーク132を介してRF電力発生器134に結合されている。反応物およびキャリアガスは、ガス供給150および環状ガス分配チャネル151からチャンバ内部に供給され得る。誘導コイル130が、RF電力発生器134からのRF電力で励起されると、実質的に誘導性のプラズマが、プラズマチャンバ120内に誘導される。特定の実施形態では、プラズマ反応器100は、プラズマへの誘導コイル130の容量結合を低減するために任意のファラデーシールド128を含み得る。
効率を高めるために、プラズマ反応器100は、チャンバ内部125に配置されたガス注入インサート140を任意に含み得る。ガス注入インサート140は、チャンバ内部125に取り外し可能に挿入され得るか、またはプラズマチャンバ120の固定部分であり得る。いくつかの実施形態では、ガス注入インサートは、プラズマチャンバの側壁に近接したガス注入チャネルを画定し得る。ガス注入チャネルは、プロセスガスを、誘導コイルに近接したチャンバ内部に、およびガス注入インサートおよび側壁によって画定された活性領域に供給し得る。活性領域は、電子を能動的に加熱するためにプラズマチャンバ内部に閉鎖領域をもたらす。狭いガス注入チャネルは、チャンバ内部からガスチャネルへのプラズマの拡散を防止する。ガス注入インサートによって、強制的にプロセスガスは、電子が活発に加熱される活性領域を通過する。
いくつかの実施形態では、本開示の例示的な方法によるマスク除去方法は、シリコン間に挟まれた酸化物および窒化物の交互の層によって形成された高アスペクト比のトレンチを含む基板上で実施され得る。場合によっては、酸化物層および窒化物層の数は、15個以上、例えば、約20個〜25個であり得る。
プラズマ処理の数や特定の種類にかかわらず、基板からドープトアモルファスカーボンマスクを除去するのに使用されるプラズマは、酸素含有ガス、ハロゲン含有ガス、および水素を含有する還元性ガスの混合物を含み得る。酸素含有ガスとしては、二酸化炭素(CO)、一酸化炭素(CO)、亜酸化窒素(NO)、またはそれらの組み合わせを挙げることができるが、これらに限定されない。ハロゲン含有ガスは、フッ素を含むことができ、いくつかの実施形態では、式CHxFyで表され得る。一実施形態では、ハロゲン含有ガスは、テトラフルオロメタン(CF)であり得るが、本明細書に提供される開示を用いて、当業者に知られているであろう適切なハロゲン含有ガスが利用され得ることは理解されるべきである。水素を含む還元性ガスは、分子状水素(H)、アンモニア(NH)、メタン(CH)、または水素を含む希釈された混合ガスであり得る。不活性ガスで希釈されたものも、混合ガスの一部として使用され得る。特定の実施形態では、不活性ガスは、窒素(N)、またはアルゴン(Ar)もしくはヘリウム(He)などの希ガス、またはそれらの組み合わせを含み得る。
本開示の例示的なマスク除去プロセスで利用される特定の酸素含有ガス、ハロゲン含有ガス、または還元性ガスに関係なく、ハロゲン含有ガスは、全ガス量の約0.25%〜約4%、例えば、全ガス量の約0.5%〜約3%、例えば、全ガス量の約1%〜約2%の量で存在し得る。さらに、ハロゲン含有ガスに対する水素含有還元性ガスの濃度の比(例えば、CFに対するHの比)は、0〜3であり得る。いくつかの実施形態では、ハロゲン含有ガスに対する水素含有ガスの比は、約0.001〜約3、例えば約0.002〜約2.0、例えば約0.8〜約1.8の範囲である。
酸素含有ガス、ハロゲン含有ガス、および還元性ガス(すなわち、水素含有ガス)および任意の不活性ガスは、様々な流量でプラズマ発生チャンバおよび処理チャンバに導入され得る。例えば、各々が約300mmの直径を有する2つの基板を処理する場合、酸素含有ガスは、約50〜約20,000sccm、例えば、約1,000sccm〜約10,000sccm、例えば、約3,000sccm〜約8,000sccmの流量を有し得る。一方、ハロゲン含有ガスは、約10sccm〜約400sccm、例えば、約20sccm〜約200sccm、例えば、約30sccm〜約160sccmの流量を有し得る。さらに、水素含有還元性ガスは、約30sccm〜約600sccm、例えば、約50sccm〜約400sccm、例えば、約100sccm〜約200sccmの流量を有し得る。さらに、不活性ガスは、約0sccm〜約10,000sccm、例えば、約10sccm〜約8,000sccm、例えば、約20sccm〜約6,000sccmの流量を有し得る。
基板の表面積(例えば、約706.5平方センチメートル(cm)の表面積を有する直径300mmの単一の基板)に基づくと、これは、約0.03sccm/cm〜約15sccm/cm、例えば、約0.7sccm/cm〜約7.25sccm/cm、例えば、約2sccm/cm〜約5.75sccm/cmの流量を有し得る酸素含有ガスに対応する。一方、これは、約0.007sccm/cm〜約0.3sccm/cm、例えば、約0.014sccm/cm〜約0.15sccm/cm、例えば、約0.02sccm/cm〜約0.12sccm/cmの流量を有し得るハロゲン含有ガスに対応する。さらに、これは、約0.02sccm/cm〜約0.5sccm/cm、例えば、約0.035sccm/cm〜約0.3sccm/cm、例えば、0.07sccm/cm〜約0.15sccm/cmの流量を有し得る水素含有還元性ガスに対応する。加えて、これは、約0sccm/cm〜約7sccm/cm、例えば、約0.007sccm/cm〜約5.75sccm/cm、例えば、約0.014sccm/cm〜約4.25sccm/cmの流量を有し得る不活性ガスに対応する。
さらに、マスク除去は、様々な温度、電力、および圧力レベルで実施され得る。例えば、マスク除去中の温度は、約5℃〜約300℃、例えば、約10℃〜約150℃、例えば、約15℃〜約50℃の範囲であり得る。さらに、処理されるべき基板は、真空下、大気ヒートソーク下、またはランプベース予熱下で予熱され得る。さらに、直径300mmの基板を処理するためのRFソース電力は、約300W〜約6,000W、例えば、約1,000W〜約5,500W、例えば、約3,000W〜約5,000Wの範囲であり得る。一方、ソース電力が、ガス流量について上述したのと同じ方法で、処理されるべき基板の表面積に基づいて上下に調整され得ることが理解されるべきである。したがって、例えば、約100mm〜約500mmの範囲の直径、例えば、約200mm〜約450mmの直径を有する基板を処理する場合、ソース電力は、約125W〜約13,500Wの範囲、例えば、約425W〜約12,375W、例えば、約1,300W〜約11,250Wであり得る。
また、マスク除去は、さまざまな圧力で行われ得る。例えば、圧力は、約1ミリトル〜約4,000ミリトルの範囲、例えば、約250ミリトル〜約1,500ミリトル、例えば、約400ミリトル〜約600ミリトルであり得る。
さらに、マスク除去中に、ドープトアモルファスカーボンマスクが除去されるべき基板は、処理されるべき基板におけるCDおよびトレンチまたはチャネルのアスペクト比に基づいて指定された時間にわたり処理され得る。例えば、処理時間は、約1秒〜約600秒の範囲、例えば、約5秒〜約450秒、例えば、約10秒〜約300秒であり得る。
図5は、本開示の例示的な実施形態による例示的なストリッププロセス(400)の流れ図を示す。プロセス(400)は、少なくとも部分的に、例えば、図4に示す装置100などの1つ以上のプラズマ処理装置において実施され得る。また、図5は、例示および説明のために特定の順序で実施される工程を示す。当業者は、本明細書で提供される開示を用いて、いくつかの実施形態では、本明細書に記載された方法またはプロセスのいずれかの工程が、本開示の範囲から逸脱することなく様々な方法で適応、拡張、再配置、同時実施、省略、繰り返され、かつ/または変更され得ることを理解するであろう。
(402)では、方法は、プラズマ処理装置内に基板を配置することを含み得る。基板は、1つ以上の高アスペクト比構造を有するパターン化領域を含み得る。基板は、高アスペクト比構造を含まない非パターン化領域を含み得る。1つ以上の高アスペクト比構造は、少なくとも部分的に、シリコンの間に挟まれた酸化物および窒化物の交互の層から形成され得る。ドープトアモルファスカーボンマスク層(例えば、ホウ素ドープトアモルファスカーボンマスク層)は、パターン化領域上および/または非パターン化領域上に含まれ得る。場合により、非パターン化領域上のドープトアモルファスカーボンマスク層が、パターン化領域上よりも厚くなり得る。
(404)では、方法は、プラズマ処理装置(例えば、ストリップチャンバ)内でポリマー堆積プロセスを実施して、基板のパターン化領域の少なくとも一部にポリマー層を堆積させることを含み得る。上記のように、いくつかの実施形態では、ポリマー堆積プロセスを使用して、基板上の1つ以上の高アスペクト比構造を塞ぎ得る。いくつかの実施形態では、ポリマー堆積プロセスを使用して、1つ以上の高アスペクト比構造の側壁にポリマー層を形成し得る。本明細書に記載されている任意の適切なポリマー堆積プロセスを使用して、基板のパターン化領域の少なくとも一部にポリマー層を形成し得る。
(406)では、方法は、プラズマ処理装置内でプラズマストリッププロセスを実施して、ポリマー層およびマスク層の少なくとも一部を除去することを含み得る。プラズマスリッププロセスは、本明細書に記載されたマスク除去プロセスのいずれかであり得る。ブロック(404)および(406)は、マスク層および/またはポリマー層が基板から十分に除去されるまで繰り返され得る。
図6は、本開示の例示的な実施形態による例示的なストリッププロセス(500)の流れ図を示す。図6は、例示および説明のために特定の順序で実施される工程を示す。当業者は、本明細書で提供される開示を用いて、いくつかの実施形態では、本明細書に記載された方法またはプロセスのいずれかの工程が、本開示の範囲から逸脱することなく様々な方法で適応、拡張、再配置、同時実施、省略、繰り返され、かつ/または変更され得ることを理解するであろう。
(502)では、方法は、第1のプラズマ処理装置(例えば、エッチングチャンバ)内の基板ホルダ上に基板を配置することを含み得る。基板は、1つ以上の高アスペクト比構造を有するパターン化領域を含み得る。基板は、高アスペクト比構造を含まない非パターン化領域を含み得る。1つ以上の高アスペクト比構造は、少なくとも部分的にシリコンの間に挟まれた酸化物および窒化物の交互の層から形成され得る。ドープトアモルファスカーボンマスク層(例えば、ホウ素ドープトアモルファスカーボンマスク層)は、パターン化領域上および/または非パターン化領域上に含まれ得る。場合により、非パターン化領域上のドープトアモルファスカーボンマスク層が、パターン化領域上よりも厚くなり得る。
エッチングプロセスを実施した後、プロセスは、第1のプラズマ処理装置内でポリマー堆積プロセスを実施して、基板のパターン化領域の少なくとも一部にポリマー層を堆積することを含み得る(504)。上述のように、いくつかの実施形態では、ポリマー堆積プロセスを使用して、基板上の1つ以上の高アスペクト比構造を塞ぎ得る。いくつかの実施形態では、ポリマー堆積プロセスを使用して、1つ以上の高アスペクト比構造の側壁にポリマー層を形成し得る。本明細書に記載されている任意の適切なポリマー堆積プロセスを使用して、基板のパターン化領域の少なくとも一部にポリマー層を形成し得る。
(506)では、プロセスは、基板を第2のプラズマ処理装置に移送することを含み得る。例えば、プロセスは、基板をストリップチャンバに移送することを含み得る。図4は、本開示の例示的な実施形態に従って使用され得る例示的なストリップチャンバを示す。
(508)では、プロセスは、プラズマ処理装置内でプラズマストリッププロセスを実施して、ポリマー層およびマスク層の少なくとも一部を除去することを含み得る。プラズマストリッププロセスは、本明細書に記載されたマスク除去プロセスのいずれかであり得る。
本主題は、その特定の例示的な実施形態に関して詳細に説明されているが、当業者は、前述の理解に達すると、このような実施形態に対して変更、変形、および等価物を容易に提供し得ることが理解されることになる。したがって、本開示の範囲は、限定ではなく例として示されており、本開示は、当業者に容易に明らかになるように、本主題へのこのような修正、変形および/または追加の包含を排除しない。

Claims (20)

  1. 高アスペクト比構造を有する基板からドープトアモルファスカーボン膜の少なくとも一部を除去するための方法であって、
    基板上の高アスペクト比構造の上端の少なくとも一部にポリマー層を堆積させること;および
    プラズマストリッププロセスを用いて、前記基板から前記ポリマー層および前記ドープトアモルファスカーボン膜の少なくとも一部を除去すること
    を含む、方法。
  2. ポリマー層を堆積することは、1つ以上の高アスペクト比構造を前記ポリマー層で塞ぐことを含む、請求項1記載の方法。
  3. ポリマー層を堆積することは、1つ以上の高アスペクト比構造の側壁にポリマー層を形成することを含む、請求項1記載の方法。
  4. 前記ポリマー層は、CHF、H、N、Arまたはそれらの組み合わせのうちの1つ以上を用いるポリマー堆積を用いて形成される、請求項1記載の方法。
  5. 前記ポリマー層は、任意のHまたは任意のCx1y1と組み合わせたガスC(ここでx、y、z、x1、およびy1は、整数である)を用いて形成される、請求項1記載の方法。
  6. 前記ポリマー層は、約10ミリトル〜約5トルの範囲の圧力で形成される、請求項1記載の方法。
  7. ポリマー層を堆積させることは、エッチングチャンバ内で実施され、ポリマー層およびドープトアモルファスカーボン膜の少なくとも一部を除去することは、プラズマチャンバとは別のストリップチャンバ内で実施される、請求項1記載の方法。
  8. ポリマー層を堆積させ、かつポリマー層および前記ドープトアモルファスカーボン膜の少なくとも一部を除去することは、同じチャンバ内で実施される、請求項1記載の方法。
  9. ポリマー層を堆積させ、かつポリマー層および前記ドープトアモルファスカーボン膜の少なくとも一部を除去することは、前記ドープトアモルファスカーボン層が前記基板から除去されるまで繰り返される、請求項1記載の方法。
  10. 前記プラズマストリッププロセスは、酸素含有ガス、ハロゲン含有ガス、および水素を含む還元性ガスの混合物を使用して形成されたプラズマを使用する、請求項1記載の方法。
  11. 前記ハロゲン含有ガスに対する前記還元性ガスの比は、約0.001〜約3の範囲内にある、請求項10記載の方法。
  12. 前記ドープトアモルファスカーボン膜は、ホウ素ドープトアモルファスカーボン膜である、請求項1記載の方法。
  13. 1つ以上の高アスペクト比構造を有するパターン化領域を有する基板からマスク層の少なくとも一部を除去するための方法であって、
    前記基板を、プラズマ処理装置内に配置すること;
    前記プラズマ処理装置内でポリマー堆積プロセスを実施して、前記基板の前記パターン化領域の少なくとも一部にポリマー層を堆積すること;
    前記プラズマ処理装置内でプラズマストリッププロセスを実施して、前記基板から前記ポリマー層の少なくとも一部および前記マスク層の少なくとも一部を除去すること
    を含む、方法。
  14. 前記ポリマー堆積プロセスは、1つ以上の高アスペクト比構造を前記ポリマー層で塞ぐ、請求項1記載の方法。
  15. 前記ポリマー堆積プロセスは、1つ以上の高アスペクト比構造の側壁にポリマー層を形成する、請求項13記載の方法。
  16. 前記ポリマー層は、CHF、H、N、Arまたはそれらの組み合わせのうちの1つ以上を用いて形成される、請求項13記載の方法。
  17. 前記ポリマー層は、任意のHまたは任意のCx1y1と組み合わせたガスC(ここでx、y、z、x1、およびy1は、整数である)を使用して形成される、請求項13記載の方法。
  18. 前記プラズマ処理装置は、ストリップチャンバを含む、請求項13記載の方法。
  19. 1つ以上の高アスペクト比構造を有するパターン化領域を有する基板からマスク層の少なくとも一部を除去するための方法であって、
    前記基板を、第1のプラズマ処理装置内に配置すること;
    前記第1のプラズマ処理装置内でポリマー堆積プロセスを実施して、前記基板の前記パターン化領域の少なくとも一部にポリマー層を堆積すること;
    前記基板を、第2のプラズマ処理装置に移送すること;および
    前記第2のプラズマ処理装置内でプラズマストリッププロセスを実施して、前記基板から前記ポリマー層の少なくとも一部および前記マスク層の少なくとも一部を除去すること
    を含む、方法。
  20. 前記第1のプラズマ処理装置は、エッチングチャンバを含み、かつ前記第2のプラズマ処理装置は、ストリップチャンバを含む、請求項19記載の方法。
JP2019514106A 2016-09-14 2017-05-17 高アスペクト比構造のためのストリッププロセス Active JP6861802B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662394242P 2016-09-14 2016-09-14
US62/394,242 2016-09-14
US201762456911P 2017-02-09 2017-02-09
US62/456,911 2017-02-09
PCT/US2017/033047 WO2018052494A1 (en) 2016-09-14 2017-05-17 Strip process for high aspect ratio structure

Publications (2)

Publication Number Publication Date
JP2019530230A true JP2019530230A (ja) 2019-10-17
JP6861802B2 JP6861802B2 (ja) 2021-04-21

Family

ID=61559704

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019514106A Active JP6861802B2 (ja) 2016-09-14 2017-05-17 高アスペクト比構造のためのストリッププロセス

Country Status (7)

Country Link
US (2) US10599039B2 (ja)
JP (1) JP6861802B2 (ja)
KR (1) KR102204116B1 (ja)
CN (1) CN109690735B (ja)
SG (1) SG11201901207TA (ja)
TW (1) TWI760338B (ja)
WO (1) WO2018052494A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021530119A (ja) * 2018-10-31 2021-11-04 インテグリス・インコーポレーテッド ホウ素ドープアモルファスカーボンハードマスク及び方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10636675B2 (en) * 2017-09-27 2020-04-28 Applied Materials, Inc. Methods of etching metal-containing layers
WO2020031224A1 (ja) 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマアッシング装置
US11270890B2 (en) * 2018-12-14 2022-03-08 Lam Research Corporation Etching carbon layer using doped carbon as a hard mask
KR20210010817A (ko) * 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN115039209A (zh) * 2019-12-31 2022-09-09 玛特森技术公司 用于硬掩模去除的系统和方法
CN114080662A (zh) 2020-06-16 2022-02-22 株式会社日立高新技术 等离子处理装置以及等离子处理方法
CN113192958B (zh) * 2021-04-28 2022-01-04 长江存储科技有限责任公司 存储器件及其制造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030104697A1 (en) * 2000-06-12 2003-06-05 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
JP2010518605A (ja) * 2007-02-05 2010-05-27 ラム リサーチ コーポレーション 超高アスペクト比の誘電体パルスエッチング
US20150200109A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Mask passivation using plasma
JP2015533029A (ja) * 2012-10-30 2015-11-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
JP2016517179A (ja) * 2013-11-06 2016-06-09 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 垂直nand素子のための新規のマスク除去方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6207583B1 (en) 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6805139B1 (en) 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
KR100338768B1 (ko) 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
WO2004027826A2 (en) 2002-09-18 2004-04-01 Mattson Technology, Inc. System and method for removing material
US20040157457A1 (en) * 2003-02-12 2004-08-12 Songlin Xu Methods of using polymer films to form micro-structures
US7402487B2 (en) * 2004-10-18 2008-07-22 Infineon Technologies Richmond, Lp Process for fabricating a semiconductor device having deep trench structures
JP4507120B2 (ja) * 2005-11-11 2010-07-21 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US7947605B2 (en) 2006-04-19 2011-05-24 Mattson Technology, Inc. Post ion implant photoresist strip using a pattern fill and method
US8394723B2 (en) * 2010-01-07 2013-03-12 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
JP2013008732A (ja) * 2011-06-22 2013-01-10 Elpida Memory Inc 半導体装置の製造方法
CN102360119B (zh) * 2011-09-29 2014-12-31 上海丽恒光微电子科技有限公司 光调制器像素单元及其制作方法
SG193093A1 (en) * 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
JP6154820B2 (ja) * 2012-11-01 2017-06-28 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9129911B2 (en) * 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US9040430B2 (en) * 2013-06-27 2015-05-26 Lam Research Corporation Method of stripping organic mask with reduced damage to low-K film
US9390923B2 (en) * 2014-07-03 2016-07-12 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030104697A1 (en) * 2000-06-12 2003-06-05 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
JP2010518605A (ja) * 2007-02-05 2010-05-27 ラム リサーチ コーポレーション 超高アスペクト比の誘電体パルスエッチング
JP2015533029A (ja) * 2012-10-30 2015-11-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
JP2016517179A (ja) * 2013-11-06 2016-06-09 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 垂直nand素子のための新規のマスク除去方法
US20150200109A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Mask passivation using plasma

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021530119A (ja) * 2018-10-31 2021-11-04 インテグリス・インコーポレーテッド ホウ素ドープアモルファスカーボンハードマスク及び方法
JP7025600B2 (ja) 2018-10-31 2022-02-24 インテグリス・インコーポレーテッド ホウ素ドープアモルファスカーボンハードマスク及び方法

Also Published As

Publication number Publication date
US20180074409A1 (en) 2018-03-15
JP6861802B2 (ja) 2021-04-21
CN109690735A (zh) 2019-04-26
TWI760338B (zh) 2022-04-11
US10901321B2 (en) 2021-01-26
WO2018052494A1 (en) 2018-03-22
US10599039B2 (en) 2020-03-24
US20200218158A1 (en) 2020-07-09
KR102204116B1 (ko) 2021-01-19
CN109690735B (zh) 2023-02-21
TW201824341A (zh) 2018-07-01
SG11201901207TA (en) 2019-04-29
KR20190043556A (ko) 2019-04-26

Similar Documents

Publication Publication Date Title
US10901321B2 (en) Strip process for high aspect ratio structure
JP6033496B2 (ja) 垂直nand素子のための新規のマスク除去方法
TWI713116B (zh) 圖案化低介電常數介電膜之方法
JP5933694B2 (ja) ホウ素炭素膜をドライストリッピングする方法
TWI514516B (zh) 保護外露式低k表面的方法
JP6579953B2 (ja) 純還元性プラズマ中で高アスペクト比のフォトレジストを除去する方法
WO2006028858A2 (en) Methods of removing photoresist on substrates
JP4648900B2 (ja) 基板からフォトレジストを除去する方法
JP2008198659A (ja) プラズマエッチング方法
TW202032661A (zh) 用於移除硬遮罩之以水蒸氣為基礎的含氟電漿
TW201419411A (zh) 在蝕刻處理前施行之遮罩層處理方法
KR20230113402A (ko) 고종횡비 탄소 층 에칭 동안 측벽 패시베이션 층을형성하는 비원자층 증착(ald) 방법
TWI745447B (zh) 具有降低的深寬比依存性之選擇性蝕刻方法
WO2003056617A1 (fr) Procede de gravure et dispositif de gravure au plasma
US7183220B1 (en) Plasma etching methods
JP7202489B2 (ja) プラズマ処理方法
JP2005150404A (ja) 化合物半導体から構成される多層膜のドライエッチング方法
TWI753413B (zh) 電漿處理方法
JP7467708B2 (ja) 基板処理装置
CN116348990A (zh) 低应力含碳层的沉积
JP2015216287A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190614

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20200616

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200716

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200824

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210301

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210330

R150 Certificate of patent or registration of utility model

Ref document number: 6861802

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150