TWI745447B - 具有降低的深寬比依存性之選擇性蝕刻方法 - Google Patents

具有降低的深寬比依存性之選擇性蝕刻方法 Download PDF

Info

Publication number
TWI745447B
TWI745447B TW106134313A TW106134313A TWI745447B TW I745447 B TWI745447 B TW I745447B TW 106134313 A TW106134313 A TW 106134313A TW 106134313 A TW106134313 A TW 106134313A TW I745447 B TWI745447 B TW I745447B
Authority
TW
Taiwan
Prior art keywords
etching
gas
stage
deposition
providing
Prior art date
Application number
TW106134313A
Other languages
English (en)
Other versions
TW201826382A (zh
Inventor
阿達爾斯 巴沙發林卡帕
鵬 王
巴哈斯卡 納加希拉瓦
麥可 戈斯
普拉伯哈克拉 勾帕拉達蘇
藍道夫 柯納爾
斯特凡 施米茨
菲爾 佛列德
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201826382A publication Critical patent/TW201826382A/zh
Application granted granted Critical
Publication of TWI745447B publication Critical patent/TWI745447B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供相對於遮罩來選擇性蝕刻一蝕刻層之方法。提供包含複數蝕刻循環的蝕刻製程,其中各蝕刻循環包含提供沉積階段與蝕刻階段。沉積階段包含:(1)提供沉積階段氣體的氣流,該沉積階段氣體包含含氟碳化物氣體或含氫氟碳化物氣體、及含氧氣體且具有氟碳化物或氫氟碳化物相對氧的一比率,(2)提供RF功率,其將沉積階段氣體形成為電漿,及(3)停止沉積階段。蝕刻階段包含:(1)提供蝕刻階段氣體的氣流,該蝕刻階段氣體包含含氟碳化物氣體或含氫氟碳化物氣體、及含氧氣體,且具有較沉積階段氣體的氟碳化物或氫氟碳化物相對氧的比率來得低的氟碳化物或氫氟碳化物相對氧的一比率,(2) 提供RF功率,及(3)停止蝕刻階段。

Description

具有降低的深寬比依存性之選擇性蝕刻方法
本揭露內容關於在半導體晶圓上形成半導體元件的方法。更具體的是,本揭露內容關於在半導體元件的形成中的鰭顯露製程。
在形成半導體元件中,可形成薄鰭。可蝕刻一層以顯露鰭。
為達成上述內容且根據本揭露內容的目的,提供相對於遮罩來選擇性蝕刻具有隔離區域與密集區域的一蝕刻層之方法。蝕刻層係放置在處理腔室中。提供包含複數蝕刻循環之蝕刻製程,其中各蝕刻循環包含提供沉積階段與蝕刻階段。沉積階段包含:(1)提供沉積階段氣體的氣流至處理腔室內,該沉積階段氣體包含含氟碳化物氣體或含氫氟碳化物氣體、及含氧氣體,且具有氟碳化物或氫氟碳化物相對氧的一比率,(2)提供RF功率,其將沉積階段氣體形成為電漿,及(3)藉由停止沉積階段氣體的氣流進入處理腔室內來停止沉積階段。蝕刻階段包含:(1)提供蝕刻階段氣體的氣流至處理腔室內,該蝕刻階段氣體包含含氟碳化物氣體或含氫氟碳化物氣體、及含氧氣體,且具有氟碳化物或氫氟碳化物相對氧的一比率,該比率較沉積階段氣體的氟碳化物或氫氟碳化物相對氧的該比率來得低,(2) 提供RF功率,其將蝕刻階段氣體形成為電漿,及(3) 藉由停止蝕刻階段氣體的氣流進入處理腔室內來停止蝕刻階段。
在另一態樣中,提供相對於含氮化矽遮罩來選擇性蝕刻具有隔離區域與密集區域的含氧化矽層之方法。蝕刻層係放置在處理腔室中。靜電卡盤溫度係維持在60℃至120℃之間。提供包含複數蝕刻循環之蝕刻製程,其中各蝕刻循環包含提供沉積階段與蝕刻階段。沉積階段包含:(1)提供沉積階段氣體的氣流至處理腔室內,該沉積階段氣體包含含氟碳化物氣體或含氫氟碳化物氣體,(2)提供RF功率,其將沉積階段氣體形成為電漿,及(3)停止沉積階段。蝕刻階段包含:(1)提供蝕刻階段氣體的氣流至處理腔室內,該蝕刻階段氣體無氟碳化物及氫氟碳化物且包含Ar與含氧氣體,(2) 提供RF功率,其將蝕刻階段氣體形成為電漿,及(3)停止蝕刻階段。
本發明的這些及其他特徵將以下列本發明的詳細敘述結合下列圖示更詳細地加以描述。
本發明現將參照如隨附圖式中所說明的幾個較佳實施例詳細描述。在以下說明中,為了提供本發明的透徹理解,闡述許多具體細節。然而,顯然地,對於熟習本項技術之人士而言,本發明可不具有某些或全部這些具體細節而實施。另一方面,為了不要不必要地模糊本發明,未詳細說明眾所周知的製程步驟及/或結構。
圖1為實施例的高階流程圖。在此實施例中,鰭結構係在蝕刻層中於遮罩的下方形成(步驟104)。提供循環的濕式與乾式蝕刻製程(步驟108)。濕式與乾式蝕刻製程的各循環包含乾式蝕刻製程(步驟112)與濕式蝕刻製程(步驟116)。鰭結構可受到進一步處理。圖2為提供乾式蝕刻製程的步驟的更詳細的流程圖(步驟112)。蝕刻層係置放在電漿處理腔室中(步驟204)。提供循環的乾式蝕刻製程(步驟208)。循環的乾式蝕刻製程的各循環包含沉積階段(步驟212)與蝕刻階段(步驟216)。從電漿處理腔室中移除蝕刻層(步驟220)。[範例]
在本發明的較佳實施例中,鰭結構係在蝕刻層中於遮罩的下方形成(步驟104)。圖3A為堆疊300的示意橫剖面圖,該堆疊300具有基板304以及氧化矽蝕刻層308。鰭結構312形成在蝕刻層308中。在此範例中,鰭結構312由SiGe構成。硬遮罩316包圍鰭結構312。在此範例中,硬遮罩316由氮化矽(SiN)構成。在此範例中,可在不同的層之間設置一或更多層。例如,可在鰭結構312與硬遮罩316之間置放氧化矽襯墊。氧化矽蝕刻層308具有密集區域320與隔離區域324。當受到蝕刻時,氧化矽蝕刻層308的密集區域320將具有比隔離區域324高的深度對寬度比率,其可能造成不均勻蝕刻。此外,在氧化矽蝕刻層308的形成中,可在密集區域320使用相較於隔離區域324不同的氧化矽材料。例如,隔離區域可由整齊旋塗的氧化矽材料形成。然而,密集區域可使用基於氧化矽的eHARP氧化物,其係針對高深寬比填充製程特別地配製。氧化矽蝕刻層材料中的差異可進一步差異化密集區域320與隔離區域324之間的蝕刻速率。
提供循環的濕式與乾式蝕刻製程(步驟108)。濕式與乾式蝕刻製程的各循環包含乾式蝕刻製程(步驟112)與濕式蝕刻製程(步驟116)。圖2為提供乾式蝕刻製程的步驟的更詳細的流程圖(步驟112)。堆疊係置放在電漿處理腔室中(步驟204)。
圖4為可用於實施例之電漿處理腔室的示意圖。在一或更多實施例中,電漿處理系統400包含設置氣體入口之氣體散佈板406與靜電卡盤(ESC)408,氣體散佈板406與ESC 408係在由腔室牆450圍起的處理腔室449之內。在處理腔室449內,基板304定位在ESC 408的頂部。邊緣環460圍繞基板304放置。ESC 408可提供來自ESC源448的偏壓。氣體源410經由散佈板406連接至電漿處理腔室449。在此實施例中,氣體源410包含CF4 氣體源412、C4 F6 氣體源416與一或更多額外氣體源418。各氣體源可包含複數氣體源。ESC溫度控制器451連接至ESC408且提供ESC408的溫度控制。ESC溫度控制器451或另一溫度控制器亦可用以控制邊緣環460的溫度。在此範例中,第一連接件413用於提供功率至內部加熱器411以加熱ESC 408的內部區域,且第二連接件414用於提供功率至外部加熱器415以加熱ESC 408的外部區域。RF源430提供RF功率至下電極434與上電極,在此實施例中該上電極為氣體散佈板406。在較佳的實施例中,2 MHz、60 MHz與可選的27 MHz功率源構成RF源430與ESC源448。在此實施例中,一產生器提供各頻率。在其他實施例中,該等產生器可在分開的RF源中,或分開的RF產生器可連接至不同的電極。例如,上電極可具有連接至不同RF源之內部與外部電極。RF源與電極的其他配置可用在其他實施例中,例如在另一實施例中,上電極可接地。控制器435可控制地連接至RF源430、ESC源448、排氣泵浦420與蝕刻氣體源410。如此電漿處理腔室的範例為Lam Research Corporation of Fremont, CA所製造的Exelan FlexTM 蝕刻系統。製程腔室可為CCP(電容耦合電漿)反應器或ICP(電感耦合電漿)反應器。
圖5為顯示電腦系統500的高階方塊圖,其合適於實施在本發明的實施例中使用的控制器435。電腦系統可具有許多實體形式,其範圍從積體電路、印刷電路板與小型手持裝置上至大型超級電腦。電腦系統500包括一或更多處理器502且進一步可包括電子顯示裝置504(用於顯示圖形、文字與其他數據)、主記憶體506(例如,隨機存取記憶體(RAM))、儲存裝置508(例如,硬碟裝置)、可移除式儲存裝置510(例如,光碟機)、使用者介面裝置512(例如,鍵盤、觸控螢幕、小鍵盤、滑鼠或其他指向裝置等等)、及通訊介面514(例如,無線網路介面)。通訊介面514容許軟體與數據在電腦系統500與外部裝置之間經由連線來轉移。系統亦可包括前述裝置/模組連接至其上的通訊基礎結構516(例如,通訊匯流排、縱橫條(cross-over bar)、或網路)。
經由通訊介面514傳輸的資訊可為訊號之形式,例如能夠經由通訊連結而被通訊介面514接收的電子、電磁、光學、或其他訊號,該通訊連結攜帶訊號且可藉由使用導線或纜線、光纖、電話線、行動電話連結、射頻連結、及/或其他通訊通道加以實現。在使用此種通訊介面之情況下,吾人預期一或更多處理器502可於執行上述方法步驟期間內從網路接收資訊、或可將資訊輸出至網路。此外,本發明的方法實施例可僅在該等處理器上執行,或可透過網路(例如,網際網路)而結合遠端處理器(其分擔一部分的處理)執行。
術語「非暫態電腦可讀媒體」通常係用以意指媒體,例如主記憶體、輔助記憶體、可移除式儲存裝置及儲存裝置,例如硬碟、快閃記憶體、磁碟機記憶體、CD-ROM、及其他形式的持續性記憶體,且不應被理解為涵蓋暫時性標的(例如,載波或訊號)。電腦碼之範例包含機器碼(例如,藉由編譯器產生者)、及含有較高階碼的檔案,該較高階的碼係藉由使用解譯器的電腦而執行。電腦可讀媒體亦可為藉由電腦數據訊號而傳輸的電腦碼,該電腦數據訊號係嵌入在載波中且代表了可由處理器執行之指令的序列。
在堆疊300已置入電漿處理腔室449內之後,可提供乾式蝕刻製程(步驟112)。乾式蝕刻製程包含複數循環,其中各循環包含沉積階段(步驟212)與蝕刻階段(步驟216)。沉積階段的範例提供沉積步驟氣體,其包含具有氟相對碳的一比率之含氟碳化物或含氫氟碳化物氣體。在此範例中,維持15 mTorr的壓力之同時,使2 sccm C4 F6 與341 sccm Ar的沉積階段氣體流入電漿處理腔室449內。沉積階段氣體係形成為原位電漿。在此範例中,沉積階段氣體係藉由提供具有至少60 MHz的RF頻率之脈衝的RF功率來形成為電漿。在此範例中,以10%的工作週期提供100 Watts的RF功率。在4秒之後,停止沉積階段(步驟212)。在此範例中,沉積階段(步驟212)係藉由停止沉積階段氣體的氣流來停止。
蝕刻階段的範例提供蝕刻階段氣體,其包含具有氟相對碳的一比率之氣體,該蝕刻階段氣體之氟相對碳的比率較沉積階段氣體之氟相對碳的比率要高。在此範例中,用於蝕刻階段氣體的配方為343 sccm Ar。腔室壓力維持在15 mTorr下。蝕刻階段氣體係形成為原位電漿。在此範例中,蝕刻階段氣體係藉由提供具有至少60 MHz的RF頻率之脈衝的RF功率來形成為電漿。在此範例中,以40%的工作週期提供100 瓦的RF功率。提供偏壓以增加蝕刻層的轟擊。在此範例中,藉由提供100 瓦的2 MHz RF功率來提供偏壓。在3秒之後,停止蝕刻階段(步驟216)。在此範例中,蝕刻階段(階段216)係藉由停止蝕刻階段氣體的氣流來停止。在複數循環之後,停止蝕刻製程。在此範例中,製程提供7個循環。氧化矽蝕刻層係從電漿處理腔室中移除(步驟220)。
圖3B為在堆疊300已經從電漿處理腔室449移除之後,堆疊300的示意橫剖面圖。氧化矽蝕刻層308已經在密集區域320與隔離區域324二者中回蝕至相同深度「D」。殘餘物328可能在乾式蝕刻製程期間沉積。在此範例中,含氧化矽的殘餘物係受到沉積。
提供濕式蝕刻製程(步驟116)。在此範例中,濕式製程為稀HF的浸浴,其具有至少300:1的水相對HF的莫爾比率。在5至60秒之間持續將堆疊暴露至該浸浴。圖3C為殘餘物已經藉由濕式蝕刻移除之後,堆疊300的示意橫剖面圖。
堆疊300經受第二次乾式蝕刻製程(步驟112)。在此範例中,此第二次乾式蝕刻製程使用與第一次乾式蝕刻製程相同的配方。在其他實施例中,第二次乾式蝕刻製程可從第一乾式蝕刻製程變更以更好地蝕刻較高深寬比特徵部。圖3D為在第二次乾式蝕刻製程完成之後堆疊300的示意橫剖面圖。殘餘物332已經藉由第二次蝕刻製程沉積。
提供第二次濕式蝕刻製程(步驟116)。在此範例中,第二次濕式蝕刻製程與第一次濕式蝕刻製程使用相同的配方。在其他的實施例中,可調整配方以有別於第一次濕式蝕刻製程,以更好地從較高深寬比特徵部移除沉積物。圖3E為在殘餘物已經藉由第二次濕式蝕刻移除之後堆疊300的示意橫剖面圖。
不受理論所限制,濕式與乾式蝕刻的組合提供相較於單獨乾式蝕刻或單獨濕式蝕刻的改善的蝕刻。濕式蝕刻為等向性的。乾式蝕刻為異向性的。僅使用濕式蝕刻造成僅僅等向性蝕刻,其可造成過切。此外,取決於待蝕刻材料與使用以蝕刻該材料之化學品的濕潤能力及/或斥液性,濕式蝕刻在蝕刻較小尺寸時可能有困難。此外,如此蝕刻製程在蝕刻多於一類型的材料時具有不均勻的蝕刻深度。如此蝕刻亦可能具有不良的蝕刻選擇性。如此蝕刻亦可造成邊角耗損。此外,達成涵蓋整個晶圓表面的蝕刻均勻度是困難的。
藉由使用具有乾式蝕刻與濕式蝕刻二者的製程(其中額外的調整控制係藉由不同的蝕刻來提供),不同的實施例能夠在縮減落差的情況下同時蝕刻密集與隔離區域。此外,實施例能夠以相同速率蝕刻不同類型的含氧化矽層,使得在不使用蝕刻停止層的情況下在不同含氧化矽層中蝕刻出均勻深度。密集區域需要具有高程度的深度相對寬度比率之高深寬比蝕刻。不同的實施例能夠蝕刻具有深度相對寬度比率高於2:1之高深寬比特徵部。更佳的是,深度相對寬度深寬比高於5:1且CD係低於20 nm。更佳的是,CD為低於15 nm。不同的實施例減少邊角損耗。不同的實施例具有高度蝕刻選擇性,且可調整以均勻地、同時地蝕刻多於一材料。不同的實施例提供沒有過切與最小接縫、孔隙、及圖案的橋接之垂直剖面。不同的實施例能夠提供涵蓋晶圓表面的均勻蝕刻。藉由提供乾式蝕刻與濕式蝕刻的組合,不同的實施例能夠同時地提供上述益處。
不同的實施例提供乾式蝕刻沉積階段與蝕刻階段。在蝕刻階段期間使用的蝕刻氣體比在沉積階段期間使用的沉積氣體來得稀薄。在一些實施例中,較稀薄的氣體具有較低的碳相對氟比率。
在以上範例中,聚合物係在氮化矽遮罩與含氧化矽蝕刻層上沉積。然而,從蝕刻含氧化矽層而釋放的氧造成聚合物受到移除。在氮化矽遮罩中沒有氧的存在防止聚合物受到移除。此從含氧化矽蝕刻層選擇性的移除聚合物造成含氧化矽蝕刻層相對於遮罩更有選擇性地受到蝕刻。
在一些實施例中,蝕刻氣體包含C4 F6 、O2 與Ar。Ar主要使用於維持腔室壓力。C4 F6 與O2 使用於蝕刻。在一些實施例中,Ar可由CO代替。
在另一範例中,沉積階段氣體可為2 sccm C4 F6 、2 sccm O2 、323 sccm Ar與20 sccm CO。60 MHz RF信號提供100 瓦的功率且以10%的工作週期脈衝輸送。2 MHz RF信號提供50-100瓦且以100 Hz的頻率及10 %的工作週期脈衝輸送。腔室壓力維持在15 mTorr下。沉積階段維持5秒。蝕刻階段氣體可為4 sccm C4 F6 、2.5 sccm O2 、323 sccm Ar與20 sccm CO。60 MHz RF信號提供100 瓦的功率且以10%的工作週期中脈衝輸送。2 MHz RF信號提供50-100 瓦且以100 Hz的頻率及10 %的工作週期脈衝輸送。腔室壓力維持在15 mTorr下。蝕刻階段維持3秒。此範例重複5個循環。在此範例中,沉積階段氣體包含含氟碳化物或含氫氟碳化物氣體。蝕刻階段氣體無氟碳化物及氫氟碳化物,並替代地包含含Ar與含氧氣體。含氧氣體可為O2 、CO2 或CO。ESC 408係維持在60℃與120℃之間的溫度下。更佳的是,邊緣環460亦維持在60℃與120℃之間的溫度下。可使用ESC溫度控制器451及/或另一溫度控制器完成此溫度控制。已經找到較佳的溫度範圍,以控制聚合物沉積以提供所欲的選擇性同時避免夾止。較佳的是,在蝕刻階段期間提供比在沉積階段期間更多的偏壓。可在蝕刻階段期間提供比在沉積階段期間更多的RF功率。如此實施例能夠在沒有落差的情況下均勻蝕刻隔離區域與密集區域二者。如此區域在沒有蝕刻停止的情況下可受到均勻地與部分地蝕刻。後續的濕式蝕刻可用以完成堆疊的處理。
在此處說明書與請求項中的鰭結構代表其為鰭的形式之結構。例如,包含312與316之結構可代表鰭結構。在實施例中,鰭結構可包含SiGe鰭、包圍312之氧化物襯墊、及包圍氧化物襯墊與鰭之氮化物襯墊與硬遮罩(316)。在其他實施例中,鰭結構基本上可為任何材料,鰭可用以製造例如Ge或III-V材料的元件。
在另一實施例中,鰭結構可包含替代觸點材料、鄰近替代觸點材料之間隔物材料、及在替代觸點材料頂部上之基於矽的氮化物覆蓋部材料(硬遮罩)。
在本發明的另一實施例中,提出的方法學可使用以製造替代觸點蝕刻以藉由移除觸點替代材料來顯露元件的活化區域(對於半導體元件的金屬觸點)。此處的觸點替代材料典型地為基於矽的氧化物。這可接著進行觸點金屬沉積、平面化、層間介電質(ILD)沉積及進一步處理步驟。
其他實施例可提供其他RF頻率。較佳的是,偏壓頻率為低於10 MHz。較佳的是,蝕刻階段具有RF頻率低於10 MHz之偏壓RF功率,其功率高於在沉積階段期間提供的具有RF頻率低於10 MHz之偏壓RF功率。
其他實施例可使用例如基於O2 的剝離或N2 /H2 剝離之乾式剝離以移除沉積物。其他實施例對於各循環可具有多於一沉積階段或蝕刻階段。
在不同的實施例中,在隔離區域與密集區域之間蝕刻出均勻深度意味著蝕刻出具有在所蝕刻深度之間不超過10 nm差距之深度。因此,在隔離區域的蝕刻深度相對於密集區域的蝕刻深度之間的落差不超過10 nm。
在一些實施例中,濕式蝕刻使用HF或HCl蝕刻化學物質或其組合。在一些實施例中,濕式蝕刻可用以減少或消除氧化物底腳(oxide foot)。當接續乾式蝕刻製程之時,如此濕式蝕刻可用以提供較直剖面。
雖然本發明已由幾個較佳的實施例加以描述,但仍存在變更、修改、置換、及各種替代等同物,其皆落入本發明的範疇之內。亦應注意有許多替代的方式實施本發明的方法及設備。因此,下列隨附申請專利範圍意欲被解釋為包含落入本發明的真實精神及範圍內的所有這些變更、修改、置換及各種替代等同物。
104‧‧‧步驟108‧‧‧步驟112‧‧‧步驟116‧‧‧步驟120‧‧‧步驟204‧‧‧步驟208‧‧‧步驟212‧‧‧步驟216‧‧‧步驟220‧‧‧步驟300‧‧‧堆疊304‧‧‧基板308‧‧‧蝕刻層312‧‧‧鰭結構316‧‧‧硬遮罩320‧‧‧密集區域324‧‧‧隔離區域328‧‧‧殘餘物332‧‧‧殘餘物400‧‧‧電漿處理系統406‧‧‧散佈板408‧‧‧靜電卡盤(ESC)410‧‧‧氣體源411‧‧‧內部加熱器412‧‧‧CF4‧‧‧氣體源415‧‧‧外部加熱器413‧‧‧第一連接件414‧‧‧第二連接件416‧‧‧C4F6‧‧‧氣體源418‧‧‧額外氣體源420‧‧‧排氣泵浦430‧‧‧RF源434‧‧‧下電極435‧‧‧控制器448‧‧‧ESC源449‧‧‧電漿處理腔室450‧‧‧腔室牆451‧‧‧ESC溫度控制器460‧‧‧邊緣環500‧‧‧電腦系統502‧‧‧處理器504‧‧‧電子顯示裝置506‧‧‧主記憶體508‧‧‧儲存裝置510‧‧‧可移除的儲存裝置512‧‧‧使用者介面裝置514‧‧‧通訊介面516‧‧‧通訊基礎結構
在隨附圖式的圖中,本揭露內容係以示例為目的而不是以限制為目的加以說明,且其中類似的參考數字係關於相似的元件,且其中:
圖1為實施例的高階流程圖。
圖2為蝕刻溝槽的步驟的更詳細的流程圖。
圖3A-E為根據實施例處理之堆疊的示意橫剖面圖。
圖4為可用於實施例中之電漿處理腔室的示意圖。
圖5為可用於運作實施例之電腦系統的示意圖。
104‧‧‧步驟
108‧‧‧步驟
112‧‧‧步驟
116‧‧‧步驟
120‧‧‧步驟

Claims (20)

  1. 一種選擇性蝕刻方法,在處理腔室中相對於一遮罩來選擇性蝕刻具有隔離區域與密集區域的一蝕刻層,該方法包含:提供包含複數蝕刻循環的一蝕刻製程,其中各蝕刻循環包含:提供一沉積階段,包含:提供一沉積階段氣體的一氣流至該處理腔室內,該沉積階段氣體包含一含氟碳化物氣體或一含氫氟碳化物氣體、及一含氧氣體且具有氟碳化物或氫氟碳化物相對氧的一比率;提供一RF功率,其中該RF功率將該沉積階段氣體轉化為一電漿;及停止該沉積階段;及提供一蝕刻階段,包含:提供一蝕刻階段氣體的一氣流至該處理腔室內,該蝕刻階段氣體包含一含氟碳化物氣體或一含氫氟碳化物氣體、及一含氧氣體,且具有與該沉積階段氣體的氟碳化物或氫氟碳化物相對氧的該比率不同的氟碳化物或氫氟碳化物相對氧的一比率;提供一RF功率,其中該RF功率將該蝕刻階段氣體轉化為一電漿;及停止該蝕刻階段。
  2. 如申請專利範圍第1項之選擇性蝕刻方法,其中在該蝕刻階段期間提供一偏壓,其中在該蝕刻階段期間提供的該偏壓係高於在該沉積階段期間提供的一偏壓。
  3. 如申請專利範圍第1項之選擇性蝕刻方法,其中該蝕刻階段氣體包含CF4、C4F6、O2或Ar之至少一者,且其中該沉積階段氣體至少包含C4F6與一含氧氣體。
  4. 如申請專利範圍第1項之選擇性蝕刻方法,其中該蝕刻層包含氧化矽。
  5. 如申請專利範圍第4項之選擇性蝕刻方法,其中該遮罩為一含矽遮罩。
  6. 如申請專利範圍第1項之選擇性蝕刻方法,其中該蝕刻製程部分地蝕刻該蝕刻層至一目標深度。
  7. 如申請專利範圍第1項之選擇性蝕刻方法,其中該蝕刻製程相對於該蝕刻層選擇性地在該遮罩上沉積聚合物。
  8. 如申請專利範圍第1項之選擇性蝕刻方法,其中該蝕刻階段更包含提供具有低於10MHz的一RF頻率之一偏壓RF功率,其中該沉積階段不具有低於10MHz的一RF頻率之一偏壓RF功率。
  9. 如申請專利範圍第1項之選擇性蝕刻方法,更包含提供一濕式製程以移除由該蝕刻製程形成的殘餘物。
  10. 如申請專利範圍第1項之選擇性蝕刻方法,更包含提供一濕式製程以移除由該蝕刻製程形成的錐部(taper)。
  11. 如申請專利範圍第1項之選擇性蝕刻方法,其中在該蝕刻階段期間提供的該RF功率係高於在該沉積階段期間提供的該RF功率。
  12. 一種選擇性蝕刻方法,在處理腔室中相對於一遮罩來選擇性蝕刻具有隔離區域與密集區域的含氧化矽層,該方法包含: 提供包含複數蝕刻循環的一蝕刻製程,其中各蝕刻循環包含:提供一沉積階段,包含:提供一沉積階段氣體的一氣流至該處理腔室內,該沉積階段氣體包含一含氟碳化物氣體或一含氫氟碳化物氣體;提供一RF功率,其中該RF功率將該沉積階段氣體轉化為一電漿;及停止該沉積階段;及提供一蝕刻階段,包含:提供一蝕刻階段氣體的一氣流至該處理腔室內,該蝕刻階段氣體不具有氟碳化物及氫氟碳化物,且包含一含氧氣體;提供一RF功率,其中該RF功率將該蝕刻階段氣體轉化為一電漿;及停止該蝕刻階段。
  13. 如申請專利範圍第12項之選擇性蝕刻方法,其中在該蝕刻階段期間提供一偏壓,其中在該蝕刻階段期間提供的該偏壓係高於在該沉積階段期間提供的一偏壓。
  14. 如申請專利範圍第12項之選擇性蝕刻方法,其中該蝕刻製程部分地蝕刻該含氧化矽層至一目標深度。
  15. 如申請專利範圍第12項之選擇性蝕刻方法,其中該蝕刻階段更包含提供低於10MHz的一偏壓RF功率,其中該沉積階段沒有低於10MHz的一偏壓RF功率。
  16. 如申請專利範圍第12項之選擇性蝕刻方法,更包含提供一濕式製程以移除由該蝕刻製程形成的殘餘物。
  17. 如申請專利範圍第12項之選擇性蝕刻方法,其中在該蝕刻階段期間提供的該RF功率係高於在該沉積階段期間提供的該RF功率。
  18. 如申請專利範圍第12項之選擇性蝕刻方法,其中該遮罩為一硬遮罩。
  19. 如申請專利範圍第12項之選擇性蝕刻方法,其中該遮罩為一含矽遮罩。
  20. 如申請專利範圍第12項之選擇性蝕刻方法,更包含維持一靜電卡盤在60℃至120℃之間的溫度下。
TW106134313A 2016-10-11 2017-10-05 具有降低的深寬比依存性之選擇性蝕刻方法 TWI745447B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/290,800 US10037890B2 (en) 2016-10-11 2016-10-11 Method for selectively etching with reduced aspect ratio dependence
US15/290,800 2016-10-11

Publications (2)

Publication Number Publication Date
TW201826382A TW201826382A (zh) 2018-07-16
TWI745447B true TWI745447B (zh) 2021-11-11

Family

ID=61829076

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106134313A TWI745447B (zh) 2016-10-11 2017-10-05 具有降低的深寬比依存性之選擇性蝕刻方法

Country Status (5)

Country Link
US (2) US10037890B2 (zh)
KR (1) KR20190055261A (zh)
CN (1) CN109804460A (zh)
TW (1) TWI745447B (zh)
WO (1) WO2018071181A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10037890B2 (en) 2016-10-11 2018-07-31 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
KR102447235B1 (ko) * 2019-06-21 2022-09-27 주식회사 히타치하이테크 플라스마 처리 방법
JP7433095B2 (ja) * 2020-03-18 2024-02-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080081477A1 (en) * 2006-09-28 2008-04-03 Elpida Memory, Inc. Method for forming a semiconductor device having a cylindrical hole in a dielectric film

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6235214B1 (en) * 1998-12-03 2001-05-22 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
JP2002025979A (ja) 2000-07-03 2002-01-25 Hitachi Ltd 半導体集積回路装置の製造方法
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
KR100739119B1 (ko) * 2005-07-26 2007-07-13 삼성전자주식회사 전자앨범 화상형성장치
US8722547B2 (en) * 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
JP2008017325A (ja) * 2006-07-07 2008-01-24 Nec Corp 無線端末装置、無線通信システム、無線通信制御方法及び無線通信制御プログラム
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US8917934B2 (en) * 2012-06-14 2014-12-23 International Business Machines Corporation Multi-cue object detection and analysis
BR112014032316A2 (pt) * 2012-06-28 2017-06-27 Molecular Partners Ag proteínas de repetição de anquirina projetadas que se ligam ao fator de crescimento derivado de plaqueta
US20140051256A1 (en) * 2012-08-15 2014-02-20 Lam Research Corporation Etch with mixed mode pulsing
US8921136B2 (en) 2013-01-17 2014-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Self aligned contact formation
US9171935B2 (en) 2014-03-07 2015-10-27 Globalfoundries Inc. FinFET formation with late fin reveal
US9252044B2 (en) 2014-03-24 2016-02-02 International Business Machines Corporation Shallow trench isolation for end fin variation control
JP6282948B2 (ja) 2014-07-10 2018-02-21 理想科学工業株式会社 インクジェット記録装置
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR101700391B1 (ko) * 2014-11-04 2017-02-13 삼성전자주식회사 펄스 플라즈마의 고속 광학적 진단 시스템
JP6521848B2 (ja) 2015-01-16 2019-05-29 東京エレクトロン株式会社 エッチング方法
US10037890B2 (en) 2016-10-11 2018-07-31 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080081477A1 (en) * 2006-09-28 2008-04-03 Elpida Memory, Inc. Method for forming a semiconductor device having a cylindrical hole in a dielectric film

Also Published As

Publication number Publication date
WO2018071181A1 (en) 2018-04-19
US10037890B2 (en) 2018-07-31
US20180102253A1 (en) 2018-04-12
US10541141B2 (en) 2020-01-21
TW201826382A (zh) 2018-07-16
KR20190055261A (ko) 2019-05-22
CN109804460A (zh) 2019-05-24
US20180330959A1 (en) 2018-11-15

Similar Documents

Publication Publication Date Title
TWI758404B (zh) 氫活化原子層蝕刻
TWI774742B (zh) 矽氮化物之原子層蝕刻
TWI745447B (zh) 具有降低的深寬比依存性之選擇性蝕刻方法
US9673058B1 (en) Method for etching features in dielectric layers
TWI735522B (zh) 混合式階梯蝕刻
TWI763778B (zh) 介層接觸窗蝕刻
US10658194B2 (en) Silicon-based deposition for semiconductor processing
CN107919264B (zh) 有关有机掩模的用于选择性地蚀刻氧化硅的方法
WO2007041423A1 (en) Vertical profile fixing
TW200411763A (en) Method for etching high-aspect-ratio features
TW201203354A (en) Methods for etching silicon-based antireflective layers
KR102280572B1 (ko) 플라즈마 처리 방법
TWI619169B (zh) 具有對低k膜之減少的損傷之有機遮罩的剝離方法
TW201906005A (zh) 多孔低介電常數介電蝕刻
JP2005123550A (ja) 異方性エッチング方法
TWI576909B (zh) 絕緣層上矽蝕刻
WO2024064526A1 (en) Method for etching features in a stack
JP2022158811A (ja) エッチング方法及びエッチング処理装置
JP2024524907A (ja) 部分的にエッチングされた構造の非共形不動態化のためのin-situの炭化水素系層
TW201903897A (zh) 用於半導體處理之矽基沉積