TWI619169B - 具有對低k膜之減少的損傷之有機遮罩的剝離方法 - Google Patents

具有對低k膜之減少的損傷之有機遮罩的剝離方法 Download PDF

Info

Publication number
TWI619169B
TWI619169B TW103122134A TW103122134A TWI619169B TW I619169 B TWI619169 B TW I619169B TW 103122134 A TW103122134 A TW 103122134A TW 103122134 A TW103122134 A TW 103122134A TW I619169 B TWI619169 B TW I619169B
Authority
TW
Taiwan
Prior art keywords
amorphous carbon
hard mask
mask layer
treating
peeling
Prior art date
Application number
TW103122134A
Other languages
English (en)
Other versions
TW201515101A (zh
Inventor
約翰M 納迦拉
傑瑞多A 戴加迪諾
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201515101A publication Critical patent/TW201515101A/zh
Application granted granted Critical
Publication of TWI619169B publication Critical patent/TWI619169B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02093Cleaning of porous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明提供一種用於剝離位於一多孔低k介電膜上方之有機遮罩的方法。提供一含有CO2和CH4的剝離氣體之穩態流動。該剝離氣體係形成為電漿,其中該電漿剝離至少一半之該有機遮罩並於提供該剝離氣體之穩態流動的期間,保護該多孔低k介電膜。

Description

具有對低K膜之減少的損傷之有機遮罩的剝離方法
本發明關於以半導體為基礎的裝置之製造。更具體地,本發明關於一種用於製造具有低k介電層之以半導體為基礎的裝置之改進的技術。
在以半導體為基礎的裝置(例如,積體電路或平面顯示器)的製造中,雙重鑲嵌結構可與銅導電材料一起使用,以減少用於前一代技術中之以鋁為基礎的信號傳播中的RC延遲。在雙重鑲嵌中,介層窗和溝槽可蝕刻至介電材料中並以銅填充 (稱為“金屬化”),而不是蝕刻導體材料。過量的銅可透過化學機械研磨(CMP)移除,留下由介層窗連接之銅線以進行信號傳輸。為了進一步減少RC延遲,可使用多孔低k介電常數材料。在說明書和申請專利範圍中,低k係定義為k <4.0。
多孔低介電常數材料可包含有機矽酸鹽玻璃(OSG)材料、亦稱為碳摻雜之矽酸鹽。OSG材料可為摻雜例如甲基之有機成份之二氧化矽。OSG材料具有結合至二氧化矽晶粒中之碳和氫原子,此降低了材料的介電常數。然而,當暴露至用於剝離位在剝離電漿內之有機材料或氟之O2 、H2 、N2 、及NH3 氣體時,OSG材料可能會受損傷。吾人相信此損傷可能是將碳從低k介電質移除所引起,此增加了介電常數並使材料更具親水性以使它維持水分。水分的存留造成金屬障壁附著的問題或可能導致其它障壁問題。
相較於非多孔(緻密) 材料,剝離電漿的破壞作用可更深入地穿透至多孔材料中。(具有k <〜2.5)之多孔OSG材料可能非常容易受到損傷,此損傷係因暴露至用以剝離遮罩及/或側壁之電漿的有機物之移除所致。例如,有機遮罩灰化或剝離處理是造成這種多孔低k介電層最顯著損傷的處理步驟之一,其中有機遮罩係於電漿系統下被剝離。電漿可擴散至多孔OSG層之的孔隙中而導致達至OSG層中300nm之損傷。由電漿造成之損傷的部分為將碳和氫由受損傷的區域移除所造成,此使OSG更像具有更高介電常數的二氧化矽。透過由FTIR分析所測量之在OSG層中Sic/SiO比例的變化,可將損傷量化。對於典型的溝槽蝕刻應用,將OSG至溝槽側壁中之3-5 nm以上的變更係為不可接受的。
為了實現上述和其他目的,並根據本發明之目標,提供一種用於剝離位於一多孔低k介電膜上方之有機遮罩的方法。提供一含有CO2 和CH4 的剝離氣體之穩態流動。該剝離氣體係形成為電漿,其中該電漿剝離至少一半之該有機遮罩並於提供該剝離氣體之穩態流動的期間,保護該多孔低k介電膜。
在本發明的另一種表現形式中,提供用於剝離一受蝕刻低k介電膜上方之一有機遮罩的方法。提供一含有剝離成份及一碳氫化合物或氟碳化合物之剝離氣體的穩態流動。該剝離氣體係形成為電漿,其中該電漿剝離該有機遮罩並保護該低k介電膜。
本發明的這些和其它特徵將於以下之本發明的詳細說明並結合以下圖形更加詳細地描述於下。
本發明現將參照如隨附圖式中呈現之其若干較佳實施例加以詳述。在以下敘述中,提出許多具體細節以提供對本發明之深入了解。然而對熟習本技藝者將顯而易見,本發明可在缺少這些具體細節的部份或所有者的情況下實施。在其它情況下,已為人所熟知之程序步驟以及/或是結構將不再詳述,以不非必要地使本發明失焦。
不受理論所束縛,吾人相信對於低k介電層的損傷大多發生在剝離期間,因為剝離動作移除有機材料,且此處理亦往往將碳從該低k介電材料中移除。此外,吾人相信溝槽剝離所致之損傷較介層窗剝離之損傷更明顯,因為溝槽係更緊密地間隔且彼此之間具有更多的電容。吾人亦相信,此損傷對於小特徵部而言比對於大特徵部而言影響更大,且此損傷對於溝槽的側壁而言比對於溝槽的底部而言影響更大。
為便於討論,圖1為用於本發明之一實施例的具有數層之堆疊的蝕刻處理之高階流程圖,該數層之堆疊包含一多孔低k介電層。一多孔低k介電層係設置於晶圓上且係位於一有機遮罩,如圖案化光阻遮罩或碳硬遮罩下方(步驟104)。該有機遮罩係用以蝕刻特徵部 (步驟108)。該有機遮罩係被剝離(步驟112)。圖2為用於本發明之一實施例中剝離該有機遮罩的更詳細流程圖 ,其係使用一穩態剝離處理。提供一剝離氣體的穩態流動(步驟204)。該穩態剝離氣體包含剝離成份和碳氫化合物或氟碳化合物。氫氟碳化合物被認為是碳氫或氟碳。該剝離氣體係形成為電漿(步驟208)。
在本發明的一實施例之示例中,圖案化有機遮罩係形成於位於一晶圓上之多孔低k介電層上方(步驟104)。圖3A為晶圓304之堆疊300的示意性剖視圖,在晶圓304上方係形成一多孔低k介電層308,該多孔低k介電層308上係形成一圖案化有機遮罩312。較佳地,該多孔低k介電層308為一有機矽酸鹽玻璃(OSG)材料。較佳地,該圖案化有機遮罩312為一碳硬遮罩,例如非晶碳。較佳地,晶圓304為一矽晶圓。一或更多層可設置於低-k介電層308和有機遮罩312之間。在此示例中,為TiN之硬遮罩層316係設置於低-k介電層308和有機遮罩312之間。一或更多層可設置於低-k介電層308和晶圓304之間。在此示例中,低k介電層308和晶圓304之間沒有中間層。
有機遮罩312係用於蝕刻特徵部(步驟108)。在此示例中,有機遮罩312係用以作為圖案,以將特徵部320蝕刻至硬遮罩層316中,如圖3B所示。
圖案化有機遮罩312被剝離(步驟112)。在此示例中,晶圓304係置於剝離腔室中。圖4為可用以剝離有機遮罩的電漿處理腔室400之示意圖。在此示例中,該腔室亦可用於原位蝕刻/圖案化其它層。電漿處理腔室400包含限制環402、上部電極404、下部電極408、氣體源410和排氣泵420。於電漿處理腔室400內,晶圓304係放置於下部電極408上。下部電極408包括用以固持晶圓304之合適的基板固持機構(例如,靜電、機械夾具、或其相似物等)。反應器頂部428包含上部電極404,上部電極404係直接設置相對於下部電極408。上部電極404、下部電極408和限制環402界定受限制之電漿容積440。氣體係由氣體源410供應至受限制之電漿容積440且係由排氣泵420經由約束環402和排氣埠從該受限制之電漿容積440排出。第一射頻源444係電連接至上部電極404。第二射頻源448係電連接至下部電極408。腔室壁452圍繞限制環402、上部電極404、及下部電極408。第一射頻源444和第二射頻源448兩者可包含27MHz電源、60MHz電源、和2MHz電源。連接至上部和下部電極的射頻電力之不同頻率的不同組合係為可能的。控制器435係可控地連接至第一射頻源444、第二射頻源448、排氣泵420、和氣體源410。控制器 435係能夠控制各種氣體的流量。在Lam Research Corporation的介電蝕刻系統中,例如Exelan®系列,由位於加州 Fremont之 LAM Research CorporationTM所製造、可用於本發明之較佳實施例者,60MHz、27MHz、和2MHz的電源供應器構成連接至下部電極408的第二射頻電源448,且上部電極404係接地。
圖5為計算機系統500之高階方塊圖,計算機系統500適合用於實施本發明之實施例中使用的控制器435。該計算機系統可具有許多實體形式,其範圍可從積體電路、印刷電路板、和小型手持裝置到巨型超級電腦。計算機系統500包含一或更多處理器502,並更可包含一電子顯示裝置504(用以顯示圖形、文字、和其他數據)、主記憶體506(如隨機存取記憶體(RAM))、儲存裝置508 (如硬碟機)、可移動儲存裝置510(如光學磁碟機)、使用者介面裝置512(如鍵盤、觸控螢幕、鍵盤、滑鼠、或其他指向裝置等)、以及通訊介面514(如無線網路介面)。通訊介面514使軟體和數據可經由一連結在計算機系統500和外部裝置之間傳輸。該系統亦可包含與前述裝置/模組相連接之通信基礎架構516(如通信匯流排、交越條(cross-over bar)或網路)。
透過通信介面514所傳輸之資訊,可能為例如電子、電磁、光學之訊號形式或其它能透過可傳輸信號之通信線路所傳輸、且為通信介面514所接受之訊號形式,前述通信線路可經由電線、電纜、光纖、電話線、行動電話線路、無線電頻率線路,以及/或其它通信管道加以實施。利用此通信介面,一或更多處理器502在執行前述步驟時,可接收來自網路之資訊,或可輸出資訊至該網路。再者,本發明之方法實施例可完全依靠處理器執行,或是可透過如網際網路之網路結合分擔部份處理的遠端處理器而執行。
用語「非暫時性電腦可讀取媒體」(non-transient computer readable medium) 一詞,普遍用於指如主記憶體、輔助記憶體、可攜式儲存裝置,以及如硬碟等儲存裝置、快閃記憶體、磁碟機記憶體、CD-ROM及其它形式的永久記憶體,且不應用以涵蓋暫時性之內容,如載波或是訊號。電腦碼的範例包含例如由編譯器產生之機器碼、以及含有較高階編碼、使用直譯器由電腦所執行之檔案。電腦可讀取媒體亦可能是體現於載波之電腦資料信號所傳送之計算機碼,且該計算機碼代表可由處理器執行之一連串指令。
在此示例中,有機遮罩312係用於將特徵部320蝕刻至硬遮罩層316中,以形成圖案化硬遮罩。在此可使用習知的蝕刻處理。
剝離有機遮罩(步驟112)。為剝離此有機遮罩,提供剝離氣體的穩態流動(步驟204)。在此示例中,剝離氣體包含700 sccm CO2 和40 sccm CH4 。壓力係維持在 40 mTorr。剝離氣體係形成為電漿(步驟208)。為使剝離氣體形成為電漿,以60 MHz提供600 watts的射頻。因為在本示例中沒有提供較低的射頻電力,因此提供低偏壓或無偏壓。60 MHz之射頻提供無或低偏壓的高電漿密度。
較佳地,穩態電漿和剝離氣體之穩態流動係提供至直到至少一半的有機遮罩被剝離。更佳地,穩態電漿係提供直到所有的有機遮罩被剝離。較佳地,該剝離氣體之穩態流動係連續地流動至少60秒。更佳地,該剝離氣體之穩態流動係流動達至少80秒。 圖3C為有機遮罩已被剝離後的晶圓304之堆疊300的示意性剖面圖。
可提供額外的步驟,例如透過硬遮罩316蝕刻多孔低k介電層308,並接著剝離硬遮罩316。此外,硬遮罩層316可在形成有機遮罩層312之前,形成於多孔低k介電層308上。
在實驗中發現,具有加至一穩態剝離氣體之甲烷(CH4 )添加劑的配方提供最小的低k損傷。吾人已發現,藉由減少或消除對低k介電膜之損傷,甲烷可保護低k介電膜。若於剝離期間對於低k介電膜造成損傷,吾人發現此損傷比沒有甲烷添加劑之相同的處理所造成之損傷更輕微。
對於其它穩態剝離氣體配方,CH4 添加劑在剝離期間可減少低k損傷。在其他實施例中,可使用其它碳氫化合物。然而,在一實施例中CH4 係較佳,由於CH4 為一較輕的含碳分子,並已發現可提供更高的剝離速率。此外,吾人意外地發現,添加CH4 在剝離期間可減少硬遮罩的蝕刻。藉由減少硬遮罩的蝕刻,硬遮罩的CD可被最小化。其它實施例可提供更大的碳氫化合物,其可減少損傷,但亦可能降低剝離速率。此外,CH4 之添加使多孔低k介電層的表面更平滑。
在一些實施例中,該剝離電漿可脈衝地產生各種參數。然而,此剝離處理仍使用穩態流動的剝離氣體。碳氫化合物可具有氟成分。然而,在較佳之實施例中,剝離氣體係不含氟。
本發明雖已透過數個較佳實施例加以說明,但仍有許多落於本發明範疇內之替換、變更、修改及各種置換均等物。亦應注意有許多實施本發明之方法及裝置的替代性方式。因此欲使以下隨附請求項解釋為包含所有落於本發明之真正精神及範疇內的此替換、變更及各種置換均等物。
104‧‧‧步驟
108‧‧‧步驟
112‧‧‧步驟
204‧‧‧步驟
208‧‧‧步驟
300‧‧‧堆疊
304‧‧‧晶圓
308‧‧‧多孔低k介電層
312‧‧‧圖案化有機遮罩
316‧‧‧硬遮罩層
320‧‧‧特徵部
400‧‧‧電漿處理腔室
402‧‧‧限制環
404‧‧‧上部電極
408‧‧‧下部電極
410‧‧‧氣體源
420‧‧‧排氣泵
428‧‧‧反應器頂部
435‧‧‧控制器
440‧‧‧電漿容積
444‧‧‧第一射頻源
448‧‧‧第二射頻源
452‧‧‧腔室壁
500‧‧‧計算機系統
502‧‧‧處理器
504‧‧‧電子顯示裝置
506‧‧‧主記憶體
508‧‧‧儲存裝置
510‧‧‧可卸除式儲存裝置
512‧‧‧使用者介面裝置
514‧‧‧通信介面
516‧‧‧通信基礎架構
本發明係藉由例示而非限制之方式顯示於隨附圖式中之圖形,且其中相似的參考數字表示相似的元件,且其中:
圖1為本發明之一實施例的高階流程圖。
圖2為剝離有機遮罩之步驟的更詳細流程圖。
圖3A-C為根據本發明之一實施例進行處理的堆疊的示意性剖面圖。
圖4為可用於本發明之一實施例中的電漿處理腔室之示意圖。
圖5為可用以作為控制器之計算機系統的示意圖。
204‧‧‧步驟
208‧‧‧步驟

Claims (16)

  1. 一種用於處理一硬遮罩層上方之一非晶碳遮罩的方法,該硬遮罩層係位於一多孔低k介電膜上方,該方法包含:透過該非晶碳遮罩,將特徵部蝕刻至該硬遮罩層中;在將特徵部蝕刻至該硬遮罩層中之後,剝離該非晶碳遮罩,包含下列步驟:提供一剝離氣體之穩態流動,該剝離氣體含有一剝離成份及一碳氫化合物或氟碳化合物;以及使該剝離氣體形成為電漿,其中該電漿剝離該非晶碳遮罩並保護該多孔低k介電膜;在剝離該非晶碳遮罩之後,透過該硬遮罩層,將特徵部蝕刻至該多孔低k介電膜中。
  2. 如申請專利範圍第1項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中一半以上的該非晶碳遮罩係於該剝離氣體之穩態流動的期間時被剝離。
  3. 如申請專利範圍第2項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該剝離成份包含一種氧化成份或一還原成份。
  4. 如申請專利範圍第3項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該碳氫化合物或氟碳化合物係為一碳氫化合物,並且其中該剝離氣體係不含氟。
  5. 如申請專利範圍第4項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該碳氫化合物為甲烷或乙烷。
  6. 如申請專利範圍第5項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該剝離成份包含O2、CO2、H2、或N2其中至少一者。
  7. 如申請專利範圍第6項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該剝離成份包含一種氧化成份。
  8. 如申請專利範圍第5項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該剝離成份為CO2
  9. 如申請專利範圍第1項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該剝離成份包含一種氧化成份或一種還原成份。
  10. 如申請專利範圍第1項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該碳氫化合物或氟碳化合物係為一碳氫化合物,並且其中該剝離氣體係不含氟。
  11. 如申請專利範圍第10項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該碳氫化合物為甲烷或乙烷。
  12. 如申請專利範圍第1項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該剝離成份包含O2、CO2、H2、或N2其中至少一者。
  13. 如申請專利範圍第1項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該剝離成份包含一種氧化成份。
  14. 如申請專利範圍第1項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該剝離成份為CO2
  15. 如申請專利範圍第1項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中該剝離成份為CO2,以及該碳氫化合物或氟碳化合物為CH4
  16. 如申請專利範圍第1項之用於處理一硬遮罩層上方之一非晶碳遮罩的方法,其中剝離該非晶碳遮罩的步驟係剝離整個該非晶碳遮罩。
TW103122134A 2013-06-27 2014-06-26 具有對低k膜之減少的損傷之有機遮罩的剝離方法 TWI619169B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/929,530 US9040430B2 (en) 2013-06-27 2013-06-27 Method of stripping organic mask with reduced damage to low-K film
US13/929,530 2013-06-27

Publications (2)

Publication Number Publication Date
TW201515101A TW201515101A (zh) 2015-04-16
TWI619169B true TWI619169B (zh) 2018-03-21

Family

ID=52116001

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103122134A TWI619169B (zh) 2013-06-27 2014-06-26 具有對低k膜之減少的損傷之有機遮罩的剝離方法

Country Status (3)

Country Link
US (1) US9040430B2 (zh)
KR (1) KR102264411B1 (zh)
TW (1) TWI619169B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9691590B2 (en) * 2015-06-29 2017-06-27 Lam Research Corporation Selective removal of boron doped carbon hard mask layers
US9947576B2 (en) 2015-07-13 2018-04-17 Applied Materials, Inc. UV-assisted material injection into porous films
CN109690735B (zh) 2016-09-14 2023-02-21 玛特森技术公司 用于高纵横比结构的剥离方法
US9870915B1 (en) * 2016-10-01 2018-01-16 Applied Materials, Inc. Chemical modification of hardmask films for enhanced etching and selective removal

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080135517A1 (en) * 2006-12-11 2008-06-12 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
US7399712B1 (en) * 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7294580B2 (en) 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
KR100704470B1 (ko) 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7790047B2 (en) 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US8133819B2 (en) 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP2011249583A (ja) 2010-05-27 2011-12-08 Elpida Memory Inc 半導体装置及びその製造方法
SG193093A1 (en) * 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7399712B1 (en) * 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US20080135517A1 (en) * 2006-12-11 2008-06-12 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide

Also Published As

Publication number Publication date
US20150004797A1 (en) 2015-01-01
KR102264411B1 (ko) 2021-06-14
US9040430B2 (en) 2015-05-26
KR20150001688A (ko) 2015-01-06
TW201515101A (zh) 2015-04-16

Similar Documents

Publication Publication Date Title
TWI758404B (zh) 氫活化原子層蝕刻
TWI774742B (zh) 矽氮化物之原子層蝕刻
US8815745B2 (en) Reducing damage to low-K materials during photoresist stripping
TWI651805B (zh) 具有高角落選擇性的自我對準接觸窗/導通孔之形成方法
KR102178834B1 (ko) 유전체 층들 내 피처들을 에칭하기 위한 방법
CN101032003B (zh) 从蚀刻晶片脱模光致抗蚀剂的方法
TWI763778B (zh) 介層接觸窗蝕刻
TWI619169B (zh) 具有對低k膜之減少的損傷之有機遮罩的剝離方法
WO2005060548A2 (en) Method of preventing damage to porous low-k materials during resist stripping
TWI745447B (zh) 具有降低的深寬比依存性之選擇性蝕刻方法
CN107919264B (zh) 有关有机掩模的用于选择性地蚀刻氧化硅的方法
WO2007064501A1 (en) Device with gaps for capacitance reduction
KR20200003941A (ko) 다공성 로우-k (low-k) 유전체 에칭
JP2016032117A (ja) タングステン含有層をエッチングする方法
KR102059312B1 (ko) 웨이퍼 베벨 상의 실리사이드 형성의 완화
KR102625934B1 (ko) 고 선택성 산소 프리 실리콘 나이트라이드 에칭
TWI576909B (zh) 絕緣層上矽蝕刻
KR20120122908A (ko) 3 중층 마스크를 이용하는 에칭에 대한 라인 벤딩 및 틸팅 예방