JP6033496B2 - 垂直nand素子のための新規のマスク除去方法 - Google Patents

垂直nand素子のための新規のマスク除去方法 Download PDF

Info

Publication number
JP6033496B2
JP6033496B2 JP2016509158A JP2016509158A JP6033496B2 JP 6033496 B2 JP6033496 B2 JP 6033496B2 JP 2016509158 A JP2016509158 A JP 2016509158A JP 2016509158 A JP2016509158 A JP 2016509158A JP 6033496 B2 JP6033496 B2 JP 6033496B2
Authority
JP
Japan
Prior art keywords
substrate
gas
plasma
containing gas
ratio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016509158A
Other languages
English (en)
Other versions
JP2016517179A (ja
Inventor
ディアオ リー
ディアオ リー
ファンヴー ハイアウ
ファンヴー ハイアウ
マシュー ヴァニアプラ ヴィジェイ
マシュー ヴァニアプラ ヴィジェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Publication of JP2016517179A publication Critical patent/JP2016517179A/ja
Application granted granted Critical
Publication of JP6033496B2 publication Critical patent/JP6033496B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01001Hydrogen [H]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01008Oxygen [O]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/011Groups of the periodic table
    • H01L2924/01111Halogens
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Description

関連出願
本発明は米国仮出願第61/900425号(2013年11月6日提出)の優先権を主張し、前記は参照をもってその全文が本願内に開示されるものとする。
技術分野
本開示は一般に、マスク材料の除去、およびより特定には、半導体素子、例えばNAND素子からマスク材料を除去することができる方法に関する。
背景技術
半導体産業において、NANDフラッシュメモリ素子の開発は、ビット密度の増加と同時に、ビットあたりのコストの削減を目指している。最近では、テラビットセルアレイトランジスタ(TCAT)技術を使用する垂直NANDフラッシュメモリセルアレイの使用が、その金属ゲート・シリコン・酸化物・窒化物・酸化物・シリコン(SONOS)セル構造に関する様々な利点を使用する前記技術の能力ゆえに、重要性を増してきている。前記の利点は、消去速度がより速いこと、Vthのマージンがより広いこと、および保持特性が改善されていることを含む。SONOS構造では、各々の垂直NANDスタックにおける酸化物と窒化物との間の交互の20層より多くの層があることがあり、その結果、ワード/線(W/L)カットエッチングに続くエッチングまたは剥離工程は、高いアスペクト比(HAR)のトレンチが存在することによって、非常に困難になることがある。この問題に取り組むため、新規のマスク材料が開発されている。そのような群の新規のマスク材料の1つは、ドープされたアモルファスカーボン(DaC)膜である。しかしながら、従来の剥離工程では、DaC膜のマスクの除去速度は約500オングストローム/分未満であり、これは従来の剥離工程を使用して従来のアモルファスカーボン膜で達成できる除去速度よりも遙かに低い除去速度である。
従って、DaCマスク材料の使用を経済的に実施できるようにするために、現在利用可能なものよりも遙かに高いDaCの除去速度についての必要性がある。形成されるトレンチのクリティカルディメンション(CD)および垂直な側面を保護できるシステムおよび方法が有用である。窒化ケイ素(SiN)および酸化ケイ素(SiOx)の損失を低減できるシステムおよび方法が特に有用である。
要約
本発明の態様および利点は、以下の記載においてある程度示され、または記載から明らかであることができるか、または本発明の実施を通じて教示され得る。
本開示の例示的な態様は、ドープされたアモルファスカーボンマスクを半導体基板から除去する方法に関する。該方法は、
基板の処理において使用されるプラズマを生成すること、その際、前記プラズマは酸素含有ガス、ハロゲン含有ガスおよび水素含有ガスを含む、および
基板を前記プラズマに曝露させることによって、基板を処理すること
を含む。
本開示の他の例示的な態様は、半導体素子の製造工程において、ホウ素ドープされたアモルファスカーボン(BDaC)マスクを、任意のプラズマ生成装置または熱処理装置を使用して除去する方法に関する。BDaCエッチング工程は、酸素含有化学物質、フッ素含有化学物質、および水素含有化学物質を使用することができる。フッ素含有化学物質は、エッチング速度を改善できる一方で、フッ素含有化学物質および水素含有化学物質はBDaCのエッチング速度全体に影響を及ぼすことができ、且つ酸化物および窒化物に対する選択性に影響を及ぼすことができる。前記の方法を約50℃以下の温度で実施することができる。
本開示のさらに他の例示的な態様は、先述の段落において議論されたエッチング方法の前に実施される処理によって、BDaCマスクのエッチング速度を改善する方法に関する。前記の前処理を、任意のプラズマ生成装置または熱処理装置を行うことができる。任意の化学物質、例えばO2またはO2/N2に基づく化学物質を使用できる。
本開示のさらに他の例示的な態様は、酸化物および窒化物に対するエッチングの選択性を改善するための処理であって、主たるエッチング工程に曝露される単独の膜が、酸化物対窒化物のクリティカルディメンション(CD)ロスの比1:1を生じ得る前記処理に関する。前記の処理は、酸素含有または窒素含有化学物質を含むことができ、フッ素含有化学物質を含み、任意のプラズマ生成装置または熱処理装置を使用する。この処理は、酸化物層および窒化物層の両方について、酸化速度または窒化速度のバランスをとることができ、従って基板の膜の消費速度が可能な限り近いまたは類似しており、従って改質された表面層は同様の厚さを有する。
本開示の追加的な例示的な態様は、ドープされたアモルファスカーボンのエッチング工程中と共に生じる、SiN層上のピンホールおよび他の欠陥を除去することを補助するためのエッチング前処理またはエッチング後処理である。前記の処理は、酸素含有、水素含有、または窒素含有化学物質を用いて、フッ素含有化学物質を用いて若しくは用いないで、任意のプラズマ生成装置または熱処理装置を使用して実施できる。
これらおよび他の特徴、本発明の態様および利点は、以下の記載および添付の特許請求の範囲を参照することにより、より良く理解される。本明細書に含まれ且つ本明細書の一部を構成する添付の図は、本発明の実施態様を説明し、且つ、明細書と共に、本発明の原理を説明するために役立つ。
図面の簡単な説明
添付の図面を参照して、最良の実施方式を含む、完全且つ当業者にとって実施可能な開示を、本明細書の以下の部分においてより具体的に示す。
図1は、本開示の態様による例示的な方法において使用できるプラズマ反応装置を示す。 図2は、本開示の例示的な実施態様による、半導体素子からマスクを除去する方法のフロー図を示す。 図3は、ワード/線(W/L)カットエッチング後且つマスク除去前の垂直NAND素子(図3(a))、およびマスク除去後の垂直NAND素子(図3(b))を示す。 図4は、様々な濃度のCF4を使用した際に、O2/H2/CF4プラズマ混合物におけるH2対CF4の比が、ホウ素をドープされたアモルファスカーボン(BDaC)マスクのエッチング速度に及ぼす作用を示すグラフである。 図5は、様々な濃度のCF4を使用した際に、O2/H2/CF4プラズマ混合物におけるH2対CF4の比が、窒化ケイ素のエッチング速度に及ぼす作用を示すグラフである。 図6は、様々な濃度のCF4を使用した際に、O2/H2/CF4プラズマ混合物におけるH2対CF4の比が、酸化ケイ素のエッチング速度に及ぼす作用を示すグラフである。 図7は、様々な濃度のCF4を使用した際に、O2/H2/CF4プラズマ混合物におけるH2対CF4の比に基づく、BDaC対窒化ケイ素の選択性を示すグラフである。 図8は、様々な濃度のCF4を使用した際に、O2/H2/CF4プラズマ混合物におけるH2対CF4の比に基づく、BDaC対酸化ケイ素の選択性を示すグラフである。 図9は、マスク除去前プラズマ処理およびマスク除去後プラズマ処理が、曝露されたSiN膜上に存在するピンホール数に及ぼす作用を示すグラフである。
詳細な説明
本開示の実施態様が詳細に参照され、その1つまたは2つの例は図面内に図示されている。各々の例は実施態様の説明のために提供され、実施態様を限定するものではない。実際に、当業者にとって、該実施態様において、本開示の範囲または主旨から逸脱することなく様々な変更および変法を行うことができることが明らかである。例えば、1つの実施態様の一部として説明または記載される特徴を、別の実施態様と共に使用することができ、さらに他の実施態様がもたらされる。従って、本開示は、特許請求の範囲内のそのような変更および変法並びにそれらの等価物を含むことが意図されている。
一般に、本開示の例示的な態様は、任意のプラズマ生成装置を介して供給されるプラズマ化学物質を使用して、ドープされたアモルファスカーボンマスクを半導体基板から除去する方法に関する。熱処理装置も使用できる。本発明者らは、ドープされたアモルファスカーボン(DaC)マスクを除去する際に、様々な工程パラメータの選択的な調節を通じて、約1000オングストローム/分〜約12000オングストローム/分、例えば約1500オングストローム/分〜約10000オングストローム/分、例えば約2000オングストローム/分〜約8000オングストローム/分の範囲であるマスク除去速度を達成できることを発見した。例えば、1つまたはそれより多くの以下のパラメータを調節して、所望のマスク除去速度を達成できる: ガスの特定の組み合わせ、エッチングガスの濃度、1つのガスの濃度対他のガスの濃度の比、ガス流量、エッチング温度、エッチング圧力、源の電力、前処理または後処理。
1つの特定の実施態様において、ダウンストリーム型の誘導結合プラズマ(ICP)源を使用することができる。しかしながら、本開示のマスク除去工程は、他のプラズマ技術、例えばマイクロ波のダウンストリーム剥離技術、または平行プレート/誘導結合プラズマエッチング技術も考慮している。誘導結合プラズマ源は、多くの場合、半導体基板を処理するための高密度プラズマおよび反応種を生成するためのプラズマ処理のために使用される。例えば、誘導プラズマ源は、標準的な13.56MHzおよびより低周波数の発電装置を使用して、高密度プラズマを容易に生成できる。RFバイアスと組み合わせられた誘導プラズマ源を、エッチング装置内でも使用し、例えば、ウェハへのイオンのエネルギーおよびイオンの流束の独立した調節を提供する。
特定のプラズマ処理、例えばマスク除去のために、一般には、半導体ウェハを直接的にプラズマに曝露させることは望ましくない。これに関して、プラズマを、処理チャンバーから遠隔的に形成し(例えばダウンストリーム)、その後、所望の粒子を、例えば中性粒子に対しては透過性であり且つプラズマに対しては透過性ではないグリッドを通じて、半導体基板にみちびくことができる。前記の方法は、高いRF電力(例えば約6000ワット(W)まで)および場合により高いガス流(例えば約20000標準立方センチメートル毎分(sccm))および高い圧力(例えば約5000ミリトール(mTorr)まで)を必要とすることがある。
図1は、本開示によって具体化される方法において使用できるプラズマ反応装置100を示す。いくつかの実施態様において、Mattson Suprema(商標) ICP反応装置が使用される。この装置は、共用のガス供給であるが個別のRF電源を使用して、2枚の300mmウェハを平行して処理する。しかしながら、同じ結果を達成するために、他のICP源が、ウェハ毎の同様の流れおよび電力の必要性を有することがある。まず、パラメータ、例えば流量およびRF電力がウェハの表面積に対応していることが求められ、例えば、450mmウェハ(面積1590cm2)は、300mmウェハ(面積707cm2)の2.25倍のガス流量および電力を必要とする一方で、200mmウェハ(表面積314cm2)は300mmウェハ(表面積707cm2)の0.44倍の流れおよび電力を必要とする。さらには、以下でSupremaシステムに特化して議論するとおり、記載されるガス流量は、2枚のウェハの代わりに1枚のウェハのためにガスを供給するシステムにおいて稼働する場合には、半分になることを理解されるべきである。さらには、本開示の方法を介して、任意の直径、例えば約100mm〜約500mmを有する基板を処理できることが理解されるべきである。さらには、本願内に提供される開示に基づき、本開示の範囲を逸脱することなく、誘導結合プラズマ源を有する任意の他の適した反応装置も使用できることが理解されるべきである。当業者に公知のとおり、本開示の範囲から逸脱することなく、マイクロ波技術または平行プレート技術に限定されないものを含む、任意の他の適したプラズマ反応装置を使用できることも理解されるべきである。図示されるとおり、プラズマ反応装置100は、処理チャンバー110、および前記処理チャンバー110から分離したプラズマチャンバー120を含む。処理チャンバー110は、基板ホルダーまたは台座112を含み、前記112はマスクを除去される基板114、例えば垂直NAND素子を保持するように操作可能である。誘導プラズマは、プラズマチャンバー120(つまり、プラズマ生成領域)内で生成され、その後、所望の粒子がプラズマチャンバー120から、グリッド116内に備えられた穴を通じて基板114表面にみちびかれ、前記グリッド116がプラズマチャンバー120を処理チャンバー110(つまり、下流の領域)から分離している。
プラズマチャンバー120は、誘電体側壁122および天井124を含む。誘電体側壁122および天井124が、プラズマチャンバーの内部125を規定する。誘電体側壁122は、任意の誘電体材料、例えば石英から形成できる。誘導コイル130は、誘電体側壁122に隣接して、プラズマチャンバー120の周りに配置される。誘導コイル130は、適切な機械的なネットワーク132を介してRF電力発生器と結合される。反応物質およびキャリアガスを、ガス供給部150および環状の分散チャネル151からチャンバー内部に供給できる。誘導コイル130がRF電力発生器134からのRF電力によって電力投入されると、本質的に誘導プラズマがプラズマチャンバー120に誘導される。特定の実施態様において、プラズマ反応装置100は、誘導コイル130のプラズマへの容量結合を低減するために、任意のファラデー遮蔽128を含むことができる。
効率を上げるために、プラズマ反応装置100は随意に、チャンバー内部125内に配置されたガス注入インサート部140を含むことができる。ガス注入インサート部140は、取り外し可能な形態でチャンバー内部125に差し込まれてもよいし、またはプラズマチャンバー120の据付部品であってよい。いくつかの実施態様において、ガス注入インサート部は、プラズマチャンバーの側壁近傍のガス注入路を規定することができる。ガス注入路は、プロセスガスを誘導コイル近傍のチャンバー内部に、およびガス注入インサート部と側壁とによって規定された活性領域に供給することができる。活性領域は、電子の活性加熱(active heating)のためのプラズマチャンバー内部の中で閉じ込められた領域を提供する。狭いガス注入路は、チャンバー内部からガス流路にプラズマが広がることを防止する。ガス注入インサートは、プロセスガスを、電子が活性に加熱される活性領域に通す。
本開示の方法において使用されるダウンストリーム型の誘導結合プラズマ源の種類にはかかわらず、本発明者らは、1つまたはそれより多くのプラズマ化学物質を用いた1つまたはそれより多くのプラズマ処理を、半導体基板、例えば垂直NAND素子上で行って、ドープされたアモルファスカーボンマスク材料を基板から除去できる一方で、同時にトレンチの垂直な側面およびクリティカルディメンション(CD)を維持できることを発見した。マスク材料は、例えば、ホウ素をドープされたアモルファスカーボン膜、窒素をドープされたアモルファスカーボン膜、または当業者に公知の任意の他のドープをされたアモルファスカーボン膜であってよい。
一般に、本開示の例示的な方法によるマスク除去方法を、シリコンの間に挟まれた酸化物と窒化物との交互の層によって形成された高アスペクト比HARのトレンチを含む基板上で実施することができる。いくつかの例において、垂直NAND素子における酸化物および窒化物の層数は、15以上の多さ、例えば約20〜25であってよい。本開示の方法によって処理できる典型的な垂直NAND素子を図3に示す。他の実施態様において、本開示は、前処理を先に行う、後処理が続く、またはその両方をする、主たるプラズマ処理をしてフォトレジストを除去することを含む、例示的な方法を記載する。
プラズマ処理の数および特定の種類にかかわらず、ドープされたアモルファスカーボンマスクを基板から除去することにおいて使用されるプラズマは、酸素含有ガス、ハロゲン含有ガス、および水素を含有する還元ガスの混合物を含むことができる。酸素含有ガスは限定されずに、二酸化炭素(CO2)、一酸化炭素(CO)、亜酸化窒素(N2O)またはそれらの組み合わせを含むことができる。ハロゲン含有ガスは、フッ素を含むことができ、且つ、いくつかの実施態様においては、式CHxyによって表すことができる。1つの実施態様において、ハロゲン含有ガスは、テトラフルオロメタン(CF4)であってよいが、本願内で提供される開示を使用して、当業者に公知の通り、任意の適したハロゲン含有ガスを使用できることが理解されるべきである。水素を含有する還元ガスは、分子状水素(H2)、アンモニア(NH3)、メタン(CH4)、または水素を含有する希釈されたガス混合物であってよい。不活性ガスを用いた希釈をガス混合物の一部として使用することもできる。特定の実施態様において、不活性ガスは窒素(N2)または希ガス、例えばアルゴン(Ar)またはヘリウム(He)またはそれらの組み合わせを含んでよい。
本開示の例示的なマスク除去方法において使用される特定の酸素含有ガス、ハロゲン含有ガス、または還元ガスにかかわらず、ハロゲン含有ガスはガスの全体積の約0.25%〜約4%、例えばガスの全体積の約0.5%〜約3%、例えばガスの全体積の約1%〜約2%の量で存在できる。さらには、水素含有還元ガス対ハロゲン含有ガスの濃度の比(例えばH2対CH4の比)は1以下であってよい。いくつかの実施態様において、水素含有ガス対ハロゲン含有ガスの比は、約0.001〜約1、例えば約0.002〜約0.9、例えば約0.005〜約0.75の範囲である。
酸素含有ガス、ハロゲン含有ガスおよび還元ガス(即ち水素含有ガス)および任意の不活性ガスを、様々な流量でプラズマ生成チャンバーに、および処理チャンバーに導入できる。例えば、各々直径約300mmを有する2枚の基板を処理する場合、酸素含有ガスは、約50〜約20000sccm、例えば約1000sccm〜約10000sccm、例えば約3000sccm〜約8000sccmの流量を有することができる。その一方で、ハロゲン含有ガスは、約10sccm〜約400sccm、例えば約20sccm〜約200sccm、例えば約30sccm〜約160sccmの流量を有することができる。さらに、水素含有還元ガスは、約30sccm〜約600sccm、例えば約50sccm〜約400sccm、例えば約100sccm〜約200sccmの流量を有することができる。さらには、不活性ガスは約0sccm〜約10000sccm、例えば約10sccm〜約8000sccm、例えば約20sccm〜約6000sccmの流量を有することができる。
基板(例えば、表面積約706.5平方センチメートル(cm2)を有する単独の300mm直径の基板)の表面積に基づき、これは、約0.03sccm/cm2〜約15sccm/cm2、例えば約0.7sccm/cm2〜約7.25sccm/cm2、例えば約2sccm/cm2〜約5.75sccm/cm2の流量を有することができる酸素含有ガスに相応する。その一方で、これは、約0.007sccm/cm2〜約0.3sccm/cm2、例えば約0.014sccm/cm2〜約0.15sccm/cm2、例えば約0.02sccm/cm2〜約0.12sccm/cm2の流量を有することができるハロゲン含有ガスに相応する。さらには、これは、約0.02sccm/cm2〜約0.5sccm/cm2、例えば約0.035sccm/cm2〜約0.3sccm/cm2、例えば0.07sccm/cm2〜約0.15sccm/cm2の流量を有することができる水素含有還元ガスに相応する。さらには、これは、約0sccm/cm2〜約7sccm/cm2、例えば約0.007sccm/cm2〜約5.75sccm/cm2、例えば約0.014sccm/cm2〜約4.25sccm/cm2の流量を有することができる不活性ガスに相応する。
さらには、マスク除去を、様々な温度、電力および圧力のレベルで行うことができる。例えば、マスク除去の間の温度は、約5℃〜約300℃、例えば約10℃〜約150℃、例えば約15℃〜約50℃の範囲であることができる。追加的に、処理される基板を、真空下、大気ヒートソークまたはランプに基づく予熱下のいずれかで、予熱できることが理解されるべきである。さらには、直径300mmの基板を処理するためのRF源の電力は、約300W〜約6000W、例えば約1000W〜約5500W、例えば約3000W〜約5000Wの範囲であることができる。その一方で、ガス流量について上記で議論されたのと同様に、源の電力を、処理される基板の表面積に基づいて上または下に調節できることが理解されるべきである。従って、例えば直径約100mm〜約500mm、例えば直径約200mm〜約450mmの範囲である基板を処理する場合、源の電力は約125W〜約13500W、例えば約425W〜約12375W、例えば約1300W〜約11250Wの範囲であることができる。
さらには、マスク除去を、様々な圧力で行うことができる。例えば、圧力は約1mTorr〜約4000mTorr、例えば約250mTorr〜約1500mTorr、例えば約400mTorr〜約600mTorrの範囲であることができる。
さらには、マスク除去の間、ドープされたアモルファスカーボンのマスクが除去される基板を、処理される基板内のトレンチまたはチャネルのCDおよびアスペクト比に基づき、特定の時間の間、処理することができる。例えば、処理時間は約1秒〜約600秒、例えば約5秒〜約450秒、例えば約10秒〜約300秒の範囲であることができる。
本開示のマスク除去方法は、基板の窒化ケイ素または二酸化ケイ素部分に対してよりも、BDaCに対して選択的であることがある。例えば、本開示の方法は、窒化ケイ素よりも約100倍〜約400倍多いBDaC、例えば窒化ケイ素よりも約125倍〜約350倍多いBDaC、例えば窒化ケイ素よりも約125倍〜約300倍多いBDaCを除去できる。さらには、H2対CF4の比が約1以下である場合、本開示の方法は、窒化ケイ素よりも少なくとも約200倍多くのBDaC、例えば窒化ケイ素よりも約200倍〜約300倍多くのBDaCを除去できる。さらには、本開示の方法は、二酸化ケイ素よりも約100倍〜約1200倍多いBDaC、例えば二酸化ケイ素よりも約125倍〜約1150倍多いBDaC、例えば二酸化ケイ素よりも約150倍〜約1100倍多いBDaCを除去できる。また、H2対CF4の比が約1以下である場合、本開示の方法は、二酸化ケイ素よりも少なくとも約200倍多くのBDaC、例えば二酸化ケイ素よりも約200倍〜約800倍多くのBDaCを除去できる。
必須ではないが、ドープされたアモルファスカーボンマスクが除去される基板を、マスク除去プラズマ処理の前に前処理に供して、ドープされたアモルファスカーボンマスクのエッチング速度をさらに改善することができる。例えば、いくつかの実施態様において、上記で議論されたマスク除去工程段階を行う前に前処理を行うことは、ドープされたアモルファスカーボンのエッチング速度を約150%〜約300%、例えば約175%〜約275%、例えば約200%〜約250%の範囲である量だけ増加させることができる。基板を前処段階に供することによって、例えば2000オングストローム/分のエッチング速度を、6000〜7000オングストローム/分のエッチング速度に高めることができる。前記の前処理を使用して、SONOSスタックにおける酸化物および窒化物に対するエッチングの選択性を改善することもできる。その結果、主たるエッチング/マスク除去工程に曝露される単独の膜は、約0.75〜約1.25、例えば約0.8〜約1.2、例えば約0.9〜約1.1の範囲である、例えば約1の、酸化物対窒化物のクリティカルディメンション(CD)ロスの比を示すことができる。特定の理論に限定されることを意図するものではないが、前処理の間に使用されるガスの化学物質と基板との特定の相互作用が、酸素、ハロゲンおよび水素含有ガスが主たる処理/マスク除去段階の間に使用される際に酸化物と窒化物との間の選択性における差異を低減できると考えられる。さらには、前記の処理は、マスク除去後の窒化ケイ素(SiN)膜中の欠陥、例えばピンホールの数を減少することもできる。さらには、前処理段階について上記で議論されたものと同じガスを使用する後処理段階も、基板膜中のピンホールの形成を低減できる。
基板が前処理段階、後処理段階、またはその両方の一部としてさらなるプラズマ化学物質に曝露されるかどうかには関わらず、処理条件は以下のとおりであってよい。第一に、前処理または後処理を、プラズマにより若しくは熱的に行うことができ、且つ前処理または後処理の間に使用される特定の単数または複数のガスは、マスク除去の前に使用されるエッチング工程に依存し得る。例えば、前処理段階、後処理段階、またはその両方のいずれかにおいて、使用されるガスは酸素含有ガス(例えばO2、CO2、CO、N2O等)、不活性ガス(例えばAr、He、N2等)、水素含有還元ガス(H2、N2/H2(フォーミングガス)、NH3、N2等)、およびそれらの組み合わせを含むことができ、且つ、ハロゲンガス、例えばフッ素も含むことができる。さらには、各々約300mmの直径を有する2枚の基板を処理する場合、前記ガスは、約50sccm〜約20000sccm、例えば約1000sccm〜約15000sccm、例えば約6000sccm〜約12000sccmの流量を有することができる。
基板(例えば、表面積約706.5平方センチメートル(cm2)を有する単独の300mm直径の基板)の表面積に基づき、これは、約0.03sccm/cm2〜約15sccm/cm2、例えば約0.70sccm/cm2〜約10.75sccm/cm2、例えば約4.25sccm/cm2〜約8.5sccm/cm2の流量を各々有することができる前処理および後処理ガスに相応する。
より特定には、マスク除去処理が酸素含有ガス、ハロゲン含有ガス、および水素含有ガスを含む場合、前処理および/または後処理のプラズマ化学物質は、O2、またはO2とN2またはN2/H2との組み合わせを含むことができる。マスク除去の前の前処理段階においてO2を使用すること、またはマスク除去の前の前処理段階においてO2、およびマスク除去後の後処理段階においてN2含有ガスを組み合わせて使用することにより、酸窒化ケイ素(SiON)表面層を基板上に形成でき、且つ、本発明者らは、二酸化ケイ素(SiO2)および窒化ケイ素(SiN)のエッチング速度が約1対1の比で合致し、ひいては酸化物層および窒化物層にわたって同じCDロスが保持されることを見出した。
ダウンストリーム型の誘導結合プラズマ源を使用して前処理および/または後処理段階を行う場合、様々な温度、電力および圧力のレベルを選択できる。例えば、該温度は、約15℃〜約300℃、例えば約20℃〜約290℃、例えば約25℃〜約275℃の範囲であることができる。さらには、300mmの基板を処理する場合、RF源の電力は、約300W〜約6000W、例えば約1000W〜約5500W、例えば約1500W〜約5000Wの範囲であることができる。さらには、前処理および/または後処理段階を様々な圧力で実施できる。例えば、該圧力は約1mTorr〜約4000mTorr、例えば約200mTorr〜約2000mTorr、例えば約400mTorr〜約1000mTorrの範囲であることができる。
さらには、マスク除去の間、ドープされたアモルファスカーボンのマスクが除去される基板を、処理される基板内のトレンチまたはチャネルのCDおよびアスペクト比に基づき、特定の時間の間、処理することができる。例えば、処理時間は約1秒〜約600秒、例えば約5秒〜約450秒、例えば約10秒〜約300秒の範囲であることができる。
ドープされたアモルファスカーボンのマスクを基板から除去でき且つ/または前処理および/または後処理段階に供する方法を、図1を参照して上記で議論されたプラズマ反応装置内で、または任意の他の適したプラズマ反応装置を用いて行うことができる。図2のブロック図に示されるとおり、半導体基板からマスクを除去する方法200は、プラズマチャンバーから下流に位置するプラズマ反応装置の処理チャンバー内に基板を設置すること(201)、酸素含有ガス、ハロゲン含有ガス、および水素を含有する還元ガスからプラズマを生成すること(202)、および基板を処理チャンバー内でプラズマに曝露させることによって基板を処理すること(203)を含むことができる。該プラズマは、ガスの全体積に対して約0.25%〜約4%のハロゲン含有ガスを含有でき、且つ、水素含有ガス対ハロゲン含有ガスの比は約0.001〜約1の範囲であることができる。さらには、各々約300mmの直径を有する2枚の基板を処理する場合、酸素含有ガスは、約50sccm〜約20000sccmの流量を有することができ、ハロゲン含有ガスは、約10sccm〜約400sccmの流量を有することができ、且つ水素含有ガスは約30sccm〜約600sccmの流量を有することができる。さらには、上記で議論されたsccm/cm2の流量は、異なる直径を有する基板が処理されるべき場合、流量を決定するために使用される。
本開示の態様は、図4〜9を参照し且つ上記で議論されたマスク除去方法の効果を実証する以下の実施例を参照してより良く理解することができる。
例1
例1は図4〜8を参照する。ホウ素をドープされたアモルファスカーボンのマスク層を含有する15のSONOS基板を処理チャンバー内に設置し、その後、各々の基板を、プラズマ生成チャンバー内で形成されたプラズマで処理した。基板を処理するために使用されたプラズマは、O2、CF4、およびH2ガスを含んだ。様々な処理条件がホウ素をドープされたアモルファスカーボン(BDaC)層、窒化ケイ素、および二酸化ケイ素のエッチング速度に及ぼす影響を見るために、プラズマ中のCF4のパーセンテージを、ガスの全体積の1%、1.5%、または2%に調節し、且つ、H2ガスのパーセンテージ対CF4ガスのパーセンテージの比を、0.5、1、2、3または4に調節した。その後、BDaC層、窒化ケイ素および二酸化ケイ素のエッチング速度を測定した。次に、BDaC対窒化ケイ素の選択性およびBDaC対二酸化ケイ素の選択性を、各々の処理条件に対して計算した。結果を図4〜8に示す。
まず、図4に示されるとおり、ホウ素をドープされたアモルファスカーボンのマスク層のエッチング速度を様々な条件の各々について測定した。CF4ガスのパーセンテージを増加させた場合、BDaCのエッチング速度も増加した。さらに、H2対CF4の比を減少させた場合、BDaCのエッチング速度が増加した。例えば、H2対CF4の比が0.5であり且つ2%のCF4ガスが使用された場合、エッチング速度は約6000オングストローム/分ほどの速さであった。その一方で、H2対CF4の比が0.5であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約4250オングストローム/分であり、且つ、H2対CF4の比が0.5であり且つ1%のCF4ガスが使用された場合、エッチング速度は約2900オングストローム/分であった。次に、H2対CF4の比が1であり且つ2%のCF4ガスが使用された場合、エッチング速度は約5000オングストローム/分であった。その一方で、H2対CF4の比が1であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約3750オングストローム/分であり、且つ、H2対CF4の比が1であり且つ1%のCF4ガスが使用された場合、エッチング速度が約2500オングストローム/分であった。次に、H2対CF4の比が2であり且つ2%のCF4ガスが使用された場合、エッチング速度は約3750オングストローム/分であった。その一方で、H2対CF4の比が2であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約2750オングストローム/分であり、且つ、H2対CF4の比が2であり且つ1%のCF4ガスが使用された場合、エッチング速度が約2000オングストローム/分であった。さらには、H2対CF4の比が3であり且つ2%のCF4ガスが使用された場合、エッチング速度は約2750オングストローム/分であった。その一方で、H2対CF4の比が3であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約2250オングストローム/分であり、且つ、H2対CF4の比が3であり且つ1%のCF4ガスが使用された場合、エッチング速度は約1500オングストローム/分であった。次に、H2対CF4の比が4であり且つ2%のCF4ガスが使用された場合、エッチング速度は約2250オングストローム/分であった。次に、H2対CF4の比が4であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約1750オングストローム/分であり、且つ、H2対CF4の比が4であり且つ1%のCF4ガスが使用された場合、エッチング速度が約1250オングストローム/分であった。
次に、図5に示されるとおり、窒化ケイ素のエッチング速度を、様々な条件の各々について測定した。CF4ガスのパーセンテージを増加させた場合、窒化物のエッチング速度も増加した。さらに、H2対CF4の比を減少させた場合、窒化物のエッチング速度が増加した。例えば、H2対CF4の比が0.5であり且つ2%のCF4ガスが使用された場合、エッチング速度は約20オングストローム/分であった。その一方で、H2対CF4の比が0.5であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約15オングストローム/分であり、且つ、H2対CF4の比が0.5であり且つ1%のCF4ガスが使用された場合、エッチング速度が約11オングストローム/分であった。次に、H2対CF4の比が1であり且つ2%のCF4ガスが使用された場合、エッチング速度は約19オングストローム/分であった。その一方で、H2対CF4の比が1であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約14.5オングストローム/分であり、且つ、H2対CF4の比が1であり且つ1%のCF4ガスが使用された場合、エッチング速度が約10.5オングストローム/分であった。次に、H2対CF4の比が2であり且つ2%のCF4ガスが使用された場合、エッチング速度は約17オングストローム/分であった。その一方で、H2対CF4の比が2であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約13オングストローム/分であり、且つ、H2対CF4の比が2であり且つ1%のCF4ガスが使用された場合、エッチング速度は約10オングストローム/分であった。さらには、H2対CF4の比が3であり且つ2%のCF4ガスが使用された場合、エッチング速度は約14オングストローム/分であった。次に、H2対CF4の比が3であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約2250オングストローム/分であり、且つ、H2対CF4の比が3であり且つ1%のCF4ガスが使用された場合、エッチング速度は約9オングストローム/分であった。その一方で、H2対CF4の比が4であり且つ2%のCF4ガスが使用された場合、エッチング速度は約8オングストローム/分であった。次に、H2対CF4の比が4であり且つ1.5%のCF4ガスが使用された場合も、エッチング速度は約8オングストローム/分であり、且つ、H2対CF4の比が4であり且つ1%のCF4ガスが使用された場合、エッチング速度は同様に約8オングストローム/分であった。
その後、図6に示されるとおり、二酸化ケイ素のエッチング速度を、様々な条件の各々について測定した。H2対CF4の比が約2未満であり、且つCF4ガスのパーセンテージが増加した場合、酸化物のエッチング速度は増加する。しかしながら、H2対CF4の比が約2より大きく、且つCF4ガスのパーセンテージが増加した場合、酸化物のエッチング速度は減少する。例えば、H2対CF4の比が0.5であり且つ2%のCF4ガスが使用された場合、エッチング速度は約7.5オングストローム/分であった。その一方で、H2対CF4の比が0.5であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約6.8オングストローム/分であり、且つ、H2対CF4の比が0.5であり且つ1%のCF4ガスが使用された場合、エッチング速度は約6オングストローム/分であった。次に、H2対CF4の比が1であり且つ2%のCF4ガスが使用された場合、エッチング速度は約7.25オングストローム/分であった。その一方で、H2対CF4の比が1であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約7オングストローム/分であり、且つ、H2対CF4の比が1であり且つ1%のCF4ガスが使用された場合、エッチング速度は約6.1オングストローム/分であった。次に、H2対CF4の比が2であり且つ2%のCF4ガスが使用された場合、エッチング速度は約6オングストローム/分であった。その一方で、H2対CF4の比が2であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約6.5オングストローム/分であり、且つ、H2対CF4の比が2であり且つ1%のCF4ガスが使用された場合、エッチング速度は約6.25オングストローム/分であった。さらには、H2対CF4の比が3であり且つ2%のCF4ガスが使用された場合、エッチング速度は約3オングストローム/分であった。次に、H2対CF4の比が3であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約5オングストローム/分であり、且つ、H2対CF4の比が3であり且つ1%のCF4ガスが使用された場合、エッチング速度は約5.9オングストローム/分であった。その一方で、H2対CF4の比が4であり且つ2%のCF4ガスが使用された場合、エッチング速度は約2オングストローム/分であった。次に、H2対CF4の比が4であり且つ1.5%のCF4ガスが使用された場合、エッチング速度は約2.5オングストローム/分であり、且つ、H2対CF4の比が4であり且つ1%のCF4ガスが使用された場合、エッチング速度は約5オングストローム/分であった。
次に、BDaC対窒化ケイ素の選択性を、図7に示すとおりに計算した。本開示によって具体化される方法は、使用されたCF4ガスのパーセンテージまたはH2対CF4の比にかかわらず、窒化ケイ素よりもBDaCに対して少なくとも100倍選択的である。次に、BDaC対二酸化ケイ素の選択性を、図8に示すとおりに計算した。本開示によって具体化される方法は、二酸化ケイ素よりもBDaCに対して少なくとも200倍選択的である。使用された、より高いパーセンテージのCF4は、窒化ケイ素と二酸化ケイ素との両方に対するBDaCのより高い選択性に相応した。さらには、窒化ケイ素に対するBDaCについての、および二酸化ケイ素に対するBDaCについての選択性のレベルは、H2対CF4の比が約1以下であった場合、且つCF4のパーセンテージが1%であった場合に最も類似していた。
例2
例1に示されるとおり、本開示のプラズマ化学物質はBDaCのエッチング速度を高めることができるのだが、それは窒化ケイ素および二酸化ケイ素のエッチングももたらすことがあり、それは窒化物材料の損失が多すぎるせいで、基板膜中のピンホールの形成または他の欠陥をみちびきかねない。上記で議論された前処理および後処理段階の効果は図9で実証される。特に、ベースライン(baseline)/調節ガスで処理された基板を、O2/H2/CF4ガス混合物で300秒間処理した; ベースライン/調節ガスおよび後処理ガスで処理された基板をO2/H2/CF4ガス混合物で300秒間処理し、次いでN2/H2ガス混合物で60秒間処理した; 前処理およびベースライン/調節ガスで処理された基板を、O2で60秒間処理し、次いでO2/H2/CF4ガス混合物で300秒間処理した; および、前処理、ベースライン/調節ガス、および後処理ガスで処理された基板を、O2で30秒間処理し、O2/H2/CF4で300秒間処理し、且つN2/H2で30秒間処理した。その後、各々の基板(窒化ケイ素膜)上で見つけられたピンホールの数を計数し、且つ、前処理または後処理段階が実施されていない参考試料と比較した。示されるとおり、前処理および後処理段階は、窒化ケイ素膜中に形成されるピンホール数の低減をもたらす。前処理と後処理段階との両方に供された基板上ではピンホールは見つからなかった。さらには、前処理だけに供された基板上ではピンホールは見つからなかった。後処理だけに供された基板上では、1つのピンホールが見つかった。その一方で、いかなる前処理段階または後処理段階にも供されていない基板においては、7つのピンホールが見つかった。
当業者は、添付の特許請求の範囲内により特定に示される本開示の主旨および範囲から逸脱することなく本発明のこれらおよび他の変更および変法を実施することができる。さらには、様々な実施態様の側面を全体または部分的に交換することができると理解されるべきである。さらには、当業者は、先の記載が単なる例に過ぎず、且つ添付の特許請求の範囲内にさらに記載される本開示を限定するものではないことを理解する。

Claims (19)

  1. ドープされたアモルファスカーボンマスクを半導体基板から除去する方法であって、
    基板の処理において使用されるプラズマを生成すること、その際、
    前記プラズマは酸素含有ガス、ハロゲン含有ガスおよび水素含有ガスを含み、
    ・ 前記ハロゲン含有ガスが、ガスの全体積に対して1%〜2%の範囲である量で存在し、
    ・ プラズマ中の水素含有ガスの量対ハロゲン含有ガスの量の比が、0.001〜1の範囲であり、
    ・ 前記プラズマがプラズマチャンバー内で生成される、
    および
    基板を下流の処理チャンバー内で前記プラズマに曝露させることによって、基板を処理し、前記ドープされたアモルファスカーボンマスクを除去すること
    を含む、
    前記方法。
  2. 前記酸素含有ガスがO2である、請求項1に記載の方法。
  3. 前記ハロゲン含有ガスがフッ素を含む、請求項1に記載の方法。
  4. 前記ハロゲン含有ガスがテトラフルオロメタン(CF4)である、請求項3に記載の方法。
  5. 前記水素含有ガスがH2である、請求項1に記載の方法。
  6. ドープされたアモルファスカーボンマスクが、ホウ素をドープされたアモルファスカーボンマスクまたは窒素をドープされたアモルファスカーボンマスクである、請求項1に記載の方法。
  7. マスクの除去が、25ワット〜3500ワットの範囲である源の電力で行われる、請求項1に記載の方法。
  8. マスクの除去が、ミリトール[(101325×10 -3 )/760Pa]000ミリトール[(101325×4000×10 -3 )/760Pa]の範囲である圧力で行われる、請求項1に記載の方法。
  9. ℃〜300℃の範囲である温度で基板をプラズマに曝露させる、請求項1に記載の方法。
  10. 基板を前記プラズマに秒〜00秒の範囲である時間の間曝露させることによって基板を処理する、請求項1に記載の方法。
  11. ドープされたアモルファスカーボンマスクを000オングストローム/分〜2000オングストローム/分の範囲である速度で除去する、請求項1に記載の方法。
  12. 酸素含有ガスが、基板1平方センチメートルあたり.03標準立方センチメートル毎分〜基板1平方センチメートルあたり5標準立方センチメートル毎分の流量を有する、請求項1に記載の方法。
  13. ハロゲン含有ガスが、基板1平方センチメートルあたり.007標準立方センチメートル毎分〜基板1平方センチメートルあたり.3標準立方センチメートル毎分の流量を有する、請求項1に記載の方法。
  14. 水素含有ガスが、基板1平方センチメートルあたり.02標準立方センチメートル毎分〜基板1平方センチメートルあたり.5標準立方センチメートル毎分の流量を有する、請求項1に記載の方法。
  15. 基板をプラズマに曝露させる前に前処理ガスを基板に施与し、その際、前記前処理ガスが酸素、窒素またはそれらの組み合わせを含む、請求項1に記載の方法。
  16. 前処理ガスの流量が、基板1平方センチメートルあたり.03標準立方センチメートル毎分〜基板1平方センチメートルあたり5標準立方センチメートル毎分の範囲である、請求項15に記載の方法。
  17. 酸化ケイ素のクリティカルディメンションロス対窒化ケイ素のクリティカルディメンションロスの比が、.75〜.25の範囲である、請求項15に記載の方法。
  18. 基板をプラズマに曝露させた後に後処理ガスを基板に施与し、その際、前記後処理ガスが酸素、窒素またはそれらの組み合わせを含む、請求項1に記載の方法。
  19. 後処理ガスの流量が、基板1平方センチメートルあたり.03標準立方センチメートル毎分〜基板1平方センチメートルあたり5標準立方センチメートル毎分の範囲である、請求項18に記載の方法。
JP2016509158A 2013-11-06 2014-11-04 垂直nand素子のための新規のマスク除去方法 Active JP6033496B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361900425P 2013-11-06 2013-11-06
US61/900,425 2013-11-06
PCT/US2014/063786 WO2015069613A1 (en) 2013-11-06 2014-11-04 Novel mask removal process strategy for vertical nand device

Publications (2)

Publication Number Publication Date
JP2016517179A JP2016517179A (ja) 2016-06-09
JP6033496B2 true JP6033496B2 (ja) 2016-11-30

Family

ID=53007340

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016509158A Active JP6033496B2 (ja) 2013-11-06 2014-11-04 垂直nand素子のための新規のマスク除去方法

Country Status (6)

Country Link
US (1) US9396963B2 (ja)
JP (1) JP6033496B2 (ja)
KR (2) KR102132361B1 (ja)
CN (1) CN104956476B (ja)
SG (1) SG11201600440VA (ja)
WO (1) WO2015069613A1 (ja)

Families Citing this family (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) * 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9865459B2 (en) * 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
CN106298668A (zh) * 2015-06-12 2017-01-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
US9691590B2 (en) * 2015-06-29 2017-06-27 Lam Research Corporation Selective removal of boron doped carbon hard mask layers
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
CN109690735B (zh) * 2016-09-14 2023-02-21 玛特森技术公司 用于高纵横比结构的剥离方法
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
WO2018111333A1 (en) 2016-12-14 2018-06-21 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10544519B2 (en) * 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020031224A1 (ja) * 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマアッシング装置
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP2022512802A (ja) * 2018-10-26 2022-02-07 マトソン テクノロジー インコーポレイテッド ハードマスクを除去するための水蒸気ベースのフッ素含有プラズマ
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN112368807A (zh) * 2018-12-21 2021-02-12 玛特森技术公司 工件的表面平滑化
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11189464B2 (en) * 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential
WO2021255812A1 (ja) 2020-06-16 2021-12-23 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4961820A (en) * 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
JP2001308078A (ja) * 2000-02-15 2001-11-02 Canon Inc 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム
ATE489726T1 (de) * 2000-09-19 2010-12-15 Mattson Tech Inc Verfahren zur ausbildung dielektrischer filme
US7187031B2 (en) * 2002-05-31 2007-03-06 Sharp Kabushiki Kaisha Semiconductor device having a low dielectric constant film and manufacturing method thereof
US6764947B1 (en) * 2003-02-14 2004-07-20 Advanced Micro Devices, Inc. Method for reducing gate line deformation and reducing gate line widths in semiconductor devices
US6939794B2 (en) * 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
KR100510558B1 (ko) * 2003-12-13 2005-08-26 삼성전자주식회사 패턴 형성 방법
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
KR100801308B1 (ko) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
US20070200179A1 (en) 2006-02-24 2007-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Strain enhanced CMOS architecture with amorphous carbon film and fabrication method of forming the same
US7977245B2 (en) * 2006-03-22 2011-07-12 Applied Materials, Inc. Methods for etching a dielectric barrier layer with high selectivity
KR101179111B1 (ko) * 2007-02-09 2012-09-07 도쿄엘렉트론가부시키가이샤 에칭 방법 및 기억 매체
JP4919871B2 (ja) 2007-02-09 2012-04-18 東京エレクトロン株式会社 エッチング方法、半導体装置の製造方法および記憶媒体
US7807064B2 (en) * 2007-03-21 2010-10-05 Applied Materials, Inc. Halogen-free amorphous carbon mask etch having high selectivity to photoresist
CN101451269B (zh) * 2007-12-05 2011-04-06 中国科学院物理研究所 一种制备厘米级单层或双层有序单晶石墨层的方法
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
JP2011233878A (ja) * 2010-04-09 2011-11-17 Elpida Memory Inc 半導体装置の製造方法
KR20120042045A (ko) * 2010-10-22 2012-05-03 에스케이하이닉스 주식회사 반도체 소자의 제조 방법
CN103021838B (zh) * 2011-09-27 2015-04-29 中芯国际集成电路制造(上海)有限公司 无定形碳处理方法及采用无定形碳作为硬掩膜的刻蚀方法
CN102610493B (zh) * 2012-03-22 2015-08-26 上海华力微电子有限公司 一种去除无定形碳薄膜循环利用硅片的方法
US20140216498A1 (en) * 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films

Also Published As

Publication number Publication date
SG11201600440VA (en) 2016-02-26
JP2016517179A (ja) 2016-06-09
CN104956476A (zh) 2015-09-30
US9396963B2 (en) 2016-07-19
US20150126035A1 (en) 2015-05-07
KR20170018117A (ko) 2017-02-15
KR102132361B1 (ko) 2020-07-10
WO2015069613A1 (en) 2015-05-14
CN104956476B (zh) 2017-11-14
KR20150107756A (ko) 2015-09-23

Similar Documents

Publication Publication Date Title
JP6033496B2 (ja) 垂直nand素子のための新規のマスク除去方法
US11062910B2 (en) Surface treatment of silicon or silicon germanium surfaces using organic radicals
TWI662617B (zh) 無鹵素之氣相矽蝕刻
US10901321B2 (en) Strip process for high aspect ratio structure
TWI510669B (zh) 於裸露矽表面而非氧化物表面之聚合物膜選擇性沉積
US11107693B2 (en) Method for high aspect ratio photoresist removal in pure reducing plasma
US20140342569A1 (en) Near surface etch selectivity enhancement
TWI598704B (zh) 用於改良之元件完整性之光阻剝除處理
TW201611113A (zh) 電漿處理方法
TW202032661A (zh) 用於移除硬遮罩之以水蒸氣為基礎的含氟電漿
KR102476308B1 (ko) 공극들을 형성하기 위한 시스템들 및 방법들
JP2009188256A (ja) プラズマエッチング方法及び記憶媒体
TW202008461A (zh) 電漿處理方法及電漿灰化裝置
JP2005252031A (ja) プラズマ窒化方法
US9601333B2 (en) Etching process

Legal Events

Date Code Title Description
A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20160517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160613

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160909

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161025

R150 Certificate of patent or registration of utility model

Ref document number: 6033496

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313114

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250