TWI598704B - 用於改良之元件完整性之光阻剝除處理 - Google Patents

用於改良之元件完整性之光阻剝除處理 Download PDF

Info

Publication number
TWI598704B
TWI598704B TW101130809A TW101130809A TWI598704B TW I598704 B TWI598704 B TW I598704B TW 101130809 A TW101130809 A TW 101130809A TW 101130809 A TW101130809 A TW 101130809A TW I598704 B TWI598704 B TW I598704B
Authority
TW
Taiwan
Prior art keywords
photoresist
substrate
stripping operation
stripping
photoresist stripping
Prior art date
Application number
TW101130809A
Other languages
English (en)
Other versions
TW201331725A (zh
Inventor
羅伊 雪弗
克爾克 奧斯多斯基
大衛 瓊
朴准弘
巴猶 希由斯沃洛
派崔克J 洛德
Original Assignee
諾發系統有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾發系統有限公司 filed Critical 諾發系統有限公司
Publication of TW201331725A publication Critical patent/TW201331725A/zh
Application granted granted Critical
Publication of TWI598704B publication Critical patent/TWI598704B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Formation Of Insulating Films (AREA)

Description

用於改良之元件完整性之光阻剝除處理 【交叉參照之相關申請案】
本申請案係主張於2011年8月26日申請之美國專利暫時申請案第61/528,029號、及於2012年8月20日申請之美國專利申請案第13/590,083號的優先權日,在此將其列為參考資料。
本發明係關於一種氫基之光阻剝除操作的方法與設備。
在處理的期間,光阻為一種用在特定之加工處理之中的光敏性材料,在例如半導體晶圓之工件之上形成圖案化的塗層。在使光阻塗布的表面受到高能量照射之圖案化的曝射之後,就去除一部分的光阻而使下部的表面露出,藉以使殘留的剩餘表面受保護。可以在露出的表面與殘留的光阻之上進行例如蝕刻、沉積、與離子植入等半導體處理。在進行一或更多之半導體處理之後,殘留的光阻將在剝除操作時去除。
在此提供一種氫基之光阻剝除操作的方法與設備,其減少矽晶圓或其它之基板之中的差排。根據各種實施例,氫基之光阻的剝除方法係採用以下的一或更多之技術:(1)藉由利用具有最小之過剝除期間的短處理而達到氫預算的最小化、(2)供給稀薄的氫,例如2%至16%的氫濃度、(3)藉由控制處理條件與化學性質而達到材料損失的最小化、(4)利用低溫光阻剝除、(5)控制植入條件與濃度、及(6)進行一或更多之剝除後的排氣處理。在此亦提供一種適合進行光阻剝除方法的設備。
一種方法,包括供給其上設有光阻的基板至反應室、及使基板受 到由處理氣體所產生之電漿的曝射,而處理氣體係含有氮與氫,且具有約在2%與16%之間的氫濃度[H],藉以去除該基板之上的光阻。在特定之實施例中,處理氣體係含有氮分子(N2)與氫分子(H2)。在特定之實施例中,處理氣體係實質含有不含氧的化合物。在一實施例中,其中之氫濃度在2%至10%之間且可小於8%。在某些實施例之中,氫濃度約4%。
處理氣體之化學物質的例子係包括H2/N2、H2/N2/Ar、及H2/N2/He。在某些實施例之中,使基板受到電漿之曝射達時間t且[H]與時間t的交叉乘積([H]×t)約在50至2000sccm-秒之間、或約在50至500sccm-秒之間。在特定之實施例中,基板的溫度係低於約285℃,例如在200℃至250℃之間。
在此,本發明之另一實施樣態係提供一種方法,包括供給其上設有光阻的基板至反應室;使基板受到由處理氣體所產生之電漿的曝射,而處理氣體係含有氫,藉以去除基板之上的光阻;及在使基板受到電漿的曝射之後,在基板溫度為約200℃至450℃之間的狀態下對基板進行排氣。在某些實施例之中,此種方法更包括:在基板進行排氣之後,在基板溫度為至少約800℃的狀態下進行高溫植入驅進處理。在特定之實施例中,處理氣體的氫濃度可為16%或更大。在特定之實施例中,處理氣體的氫濃度[H]係小於16%。在特定之實施例中,處理氣體的氫濃度[H]係小於10%。在特定之實施例中,處理氣體的氫濃度[H]係小於5%。
在此,所揭露之權利標的之另一實施樣態為一種設備,包括電漿源、氣體入口,用以將混合氣體通入到電漿源之中、噴灑頭,位在氣體入口的下游、基板支座,位在噴灑頭的下游,而基板支座係具有托架與控制受支撐在基板支座之上的基板之溫度用的溫控機構、及控制器,用以執行一組指令,而該組指令係包含用以將含有氮與氫且具有約2%至16%之間的氫濃度[H]之混合氣體通入到氣體入口之中的指令。在某些實施例之中,該組指令更包含用以將基板之溫度維持在約285℃或更低之一指令。
1、2、3、4、5、6‧‧‧處理站
101‧‧‧矽層
103‧‧‧光阻層
104‧‧‧開口
105‧‧‧外殼層
107‧‧‧殘餘物
109‧‧‧摻雜區
200、700‧‧‧處理
201、203、205、207、701、703、705、707‧‧‧方塊
900‧‧‧電漿設備
901‧‧‧曝照室
903‧‧‧晶圓
905‧‧‧平台
907‧‧‧導管
909‧‧‧入口
911‧‧‧入口
913‧‧‧感應線圈
915‧‧‧電源
950‧‧‧控制器
1001、1002‧‧‧處理室
圖1A至圖1D係圖示出在離子植入與剝除操作的之前與之後的半導體加工之各種階段。
圖2係顯示出光阻剝除處理的特定之操作的流程圖。
圖3係顯示:(a)在受到使用16%之H2的光阻剝除電漿、(b)使用4%之H2的光阻剝除電漿的照射之後、(c)裸控制Si晶圓且(d)在900℃之30秒的快速熱處理(RTP)退火之後,達到矽晶圓之400Å的深度處的原子之濃度的圖形。
圖4係顯示出:(a)在受到使用16%之H2的光阻剝除電漿、(b)使用4%之H2的光阻剝除電漿的照射之後、且(c)裸控制Si晶圓之後,矽晶圓的FTIR光譜。
圖5A與圖5B為在閘極之下方的摻雜碳之矽的示意圖。
圖6係顯示出:結晶Si之中的碳成分為受到氫電漿之照射的函數之分析。
圖7係顯示出光阻剝除處理的特定之操作的流程圖。
圖8係顯示出:(a)在受到使用16%之H2的光阻剝除電漿的照射及在空氣中進行12小時之200℃的退火,且(b)受到的照射光阻剝除電漿使用4%之H2的光阻剝除電漿的照射及在空氣中進行12小時之200℃的退火之後,相較於控制組之基板的FTIR光譜。
圖9為顯示足以實施在此所述之方法的下游電漿設備之實施樣態的示意圖。
圖10為顯示足以實施在此所述之方法的多站之設備的簡化上視略圖。
在以下之本發明的詳細說明中,為了提供對本發明之徹底瞭解,故提出許多的特定實施例。然而,熟悉本技藝之人士應可輕易瞭解:可在不使用這些特定之細節或改利用替代的元件或處理的情況下,也可據以實施本發明。在其它的情況下,為了避免不必要地模糊本發明之實施樣態,故將不詳細說明熟知的處理、製程與元件。
在本申請案中,將互換地使用「工件」、「半導體晶圓」、「晶圓」與「半成品晶圓」等用詞。熟悉本技藝之人士應可輕易瞭解:「半成品晶圓」係指在其上進行積體電路加工的眾多階段之中的任一階段期間的矽晶圓。以下的詳細說明係假設在晶圓之上據以實施本發明。然而,本發明並非僅限於此。工件可以是各種外形、尺寸、及材料。除了半導體晶圓 以外,可以利用本發明的其它之工件係包括各種物件,例如顯示裝置、印刷電路板等等。
在處理的期間,光阻為一種用在特定之加工處理之中的光敏性材料,在例如半導體晶圓之工件之上形成圖案化的塗層。在使光阻塗布的表面受到高能量照射之圖案化的曝射之後,就去除一部分的光阻而使下部的表面露出,藉以使殘留的剩餘表面受保護。可以在露出的表面與殘留的光阻之上進行例如蝕刻、沉積、與離子植入等半導體處理。在進行一或更多之半導體處理之後,殘留的光阻將在剝除操作時去除。
包括P型與N型MOSFET與其它之電晶體的加工處理、DRAM儲存電容的加工處理、與快閃浮接閘極的加工處理之前段製程(FEOL)的製造處理係涉及多光刻圖案化操作、及多光阻剝除處理。在某些實施例之中,光阻剝除處理可以是或包括高劑量植入剝除(HDIS)或其它之植入剝除處理。
在離子植入的期間,例如硼、二氟化硼、銦、鎵、鉈、磷、砷、銻、鉍、碳、氙、氬或鍺等摻入物的離子,被加速而衝向工件靶。不僅在殘留的光阻表面中進行離子植入,在工件的外露區域之中也進行離子植入。此種處理不僅可形成井區(源極/汲極)、淡摻雜汲極(LDD)、鹵素植入區、與雙擴散汲極(DDD)區,也可形成其它之植入區。離子植入係將植入物質注入光阻且消耗氫的表面。光阻的外層或外殼係形成碳化層,其比下層的主體光阻層更為緻密。這兩種層係具有不同的熱膨脹率且對於剝除處理呈現出不同的速率。
在後期的高劑量離子植入光阻之中,外層與主體層之間的差異能相當被宣告。在高劑量植入之中,離子劑量係大於1×1015離子/cm2且能量從10KeV到大於100KeV。傳統的HDIS處理係採用氧的化學物質,其中遠離處理室地形成單原子的氧電漿且接著使其朝向工件表面。反應性的氧係與光阻結合而形成氣體的副產物,而可藉由真空泵浦加以去除。為了進行HDIS,需要有額外的氣體與氧,才能去除植入的摻入物。
主要的植入剝除考量因素包括剝除率、殘餘量、及外露與下層的薄膜層之薄膜損失。在植入與剝除之後,在基板表面之上通常會發現殘餘物。這通常起因於高能量之植入期間的濺射、外殼之不完全的去除、及/ 或光阻之中的植入原子的氧化。在剝除之後,表面必須無殘餘物或實質無殘餘物,以確保高良率且免於需要進行額外的殘餘物去除處理。可以藉由過剝除去除殘餘物,亦即,超過去除所有的光阻所需之名義上的點,仍繼續進行剝除處理。遺憾地,在習知的植入剝除操作之中,過剝除有時將去除一些下層的功能性裝置結構。在裝置層處,即使是從電晶體的源極/汲極區發生極少之矽損失,都將負面地影響裝置性能與良率,特別是依小於32nm或更小的設計規範所製造的極淺接面裝置。
在某些實施例之中,可以在高劑量離子植入之後利用本方法與設備有效率且有效地去除光阻材料。本方法與設備不僅限於高劑量植入剝除(HDIS)。本方法與設備也不僅限於任一特定類型之摻入物的植入。例如,在中或低劑量植入之後,可以有效地利用在此所述之方法與設備進行剝除。雖然在此說明特別的摻入物離子,例如硼、砷、及磷,但所述之方法與設備亦可有效地用以剝除浸漬有其它之摻入物的光阻,例如氮、氧、碳、鍺、及鋁。又,方法與設備並不僅限於後期植入之光阻的去除,亦可用以去除尚未經過植入之光阻。
在此所述之方法與設備係採用氫基的化學物質,俾去除光阻及/或相關的殘餘物。在某些實施例之中,化學物質係包括連同任意之氣體的氫,例如氮、氦、氬等等,與實質無氧化劑或氟基的化學物質。在某些其它的實施例之中,化學物質係包括含氧的化合物及/或含氟的化合物。
在此所述之剝除處理係通常涉及產生電漿,即由包含各種成分氣體的氣體產生電漿。如所示者,在此所述之剝除化學物質為氫基的化學物質。氫分子(H2)係典型為電漿產生氣體的主要成分。在某些實施例之中,於整體之剝除處理的一或更多之操作之中所使用的剝除化學物質係基本上由H2與N2所組成的氣體所產生。
雖然氫基的剝除化學物質,例如H2/N2化學物質,已可形成乾淨、快速且有效的光阻去除,但吾人已發現:特定之氫基的剝除處理將在FEOL加工的期間造成矽基板之中差排或其它之缺陷。這些缺陷將必須與裝置的完整性折衷。Si晶體之中的差排之形成將有害於裝置的性能。在某些情況之中,差排將否定晶格應變的正面效果且使裝置的速度降低。在其它情況中,差排將造成良率損失。又,在其它情況中,差排將引起裝置的可 靠度問題,而影響長期的操作。在此所述之方法與設備係提供有效的光阻剝除,同時減少缺陷或使其最少。
圖1A至圖1D係圖示出在離子植入與剝除操作之前與之後的半導體加工的各種階段。雖然圖1A至圖1D提供採用在此所述之方法的加工處理之例子,但這些方法並非僅限於此且亦可採用任一適合FEOL處理之特定應用的氫基光阻剝除處理。圖1A係顯示出塗布有光阻材料103的半導體基板101。基板101係包括一或更多層之沉積而成的薄膜,例如氧化膜、矽化物接點、及/或多晶矽膜、或可以是裸矽基板,包括例如矽基絕緣體型基板。一開始,光阻材料係塗布整個基板表面。接著使光阻受到經由光罩所產生之圖案化的照射且加以顯影而去除一部分的材料,例如,如圖1A所示之殘留的光阻材料103之間的開口104。
接著使基板受到離子植入處理。在離子植入的期間,將摻入物離子植入工件或晶圓的表面。例如,此處理為電漿浸入型離子植入(PIII)或離子束植入。離子係撞擊基板表面,包括外露矽層101與光阻103。藉由高能量的離子植入,少量的下層的材料107將被濺鍍到光阻的側壁。參見圖1B。本材料係包括一些植入物質、電漿或離子束之中的其它之材料,與植入的副產物。其包括矽、鋁、碳、氟、鈦、其它之接點材料,例如鈷,與呈元素與化合物兩種形態的氧。實際的物質係取決於進行離子植入之前的基板之成分、光阻、與被植入的物質。
在外露的矽層101處,將製成摻雜區109。撞擊之離子能量或強度將決定摻雜區的深度或厚度。離子通量的密度將決定摻入的程度。離子係注入光阻表面而形成外殼層105。外殼層105為碳化且高度交連的聚合物鏈。外殼通常為氫耗乏且浸漬有植入物質。外殼層105將比主體的光阻層103更緻密。相對的密度係取決於離子通量,而外殼層的厚度係取決於離子能量。
此外殼層105將比下層的主體光阻103更難以剝除。外殼層的去除率將低於下層的主體光阻之去除率而僅為其50%或75%。主體光阻係含有相對高階的化學鍵結氮與一些其原始的保定溶劑。在升高的晶圓溫度時,例如,高達150℃到200℃時,主體光阻將逸出氣體且相對於外殼層地發生膨脹。接著,隨著下層的主體光阻在外殼之下方處建立壓力,整個光 阻將「爆出」。由於殘餘物將特別難以從晶圓表面與處理室的內部元件中清除,故光阻的爆出將是微粒的來源與製程的缺陷。藉由高劑量的離子植入,在外殼與下層的主體光阻層之間的密度差異將更大。外殼也將更厚。
圖1C係顯示出:在進行無法完全地去除光阻103與側壁濺鍍殘餘物107的剝除之後的基板。側壁濺鍍殘餘物107係包括不會在習知的剝除化學物質之下方的形成揮發性化合物的微粒。這些微粒在習知的剝除操作之後仍將殘留。殘餘物也包括被植入之物質的氧化物,其為與習知的剝除化學物質之中所用的反應性氧形成,例如氧化硼或氧化砷。外殼105的局部亦殘留在基板之上。由於幾何形狀的原因,將難以剝除外殼側壁與光阻通孔之底部的角落。在兩相鄰的外露區域之間所留下之不外露的長條、長形、窄形的光阻殘餘物區間亦將出現。這些殘餘物微粒可以藉由過剝除加以去除。過剝除為超過去除所有的光阻所需的名義上的點後,仍繼續進行剝除處理。若完全去除了晶圓的某些區域之中的光阻、但未去除其它區域之中的光阻的話,剝除處理的繼續將造成額外的材料,典型為矽與矽氧化物,被從已經剝除的區域之中去除。圖1D係顯示出在所有的殘餘物已被去除之後的基板。
在此所述之含氫的電漿剝除處理係可用以在離子植入或其它之後期圖案化處理之後剝除光阻。例如,在此所述之方法與設備可以就後期光環植入的光阻剝除而據以實施。本方法與設備係可減少Si或其它之基板之中的差排,而Si或其它之基板之中的差排之減少將可以與裝置的完整性折衷。如上所述,在特定之實施例中,含氫的電漿係含有或不含其它之反應性的物質,例如氧與氟物質。雖然無特定之理論的根據,但吾人相信:差排將更易於由不含有與氫發生反應之氧、氟、或其它之物質的氫基電漿所形成。然而,在某些實施例之中,在此所述之方法將不僅可有利地利用不含有氧、氟、或其它之物質的氫基電漿,也可有利地利用含有氧、氟、或其它之物質的氫基電漿。
根據各種實施例,氫基的光阻剝除處理係採用一或更多之以下的技術:(1)藉由利用有最小的過剝除期間的短處理而最小化氫預算、(2)供給稀薄的氫,例如,2%至16%的H2、(3)藉由控制處理條件與化學物質而最小化材料的損失、(4)利用低溫的光阻剝除、(5)控制植入條件與 濃度、及(6)進行一或更多之剝除後的排氣處理。以下將說明這些技術。
圖2係顯示出光阻剝除處理之特定操作的流程圖。處理200係開始於方塊201,在此供給其上具有光阻的基板。具有光阻之基板的例子可參見上述圖1A至圖1C所示者。基板為矽基板,例如,包括絕緣層上矽晶基板。在某些實施例之中,光阻係直接形成在矽基板的表面之上。在某些實施例之中,在矽基板或其它種類之基板與光阻之間,可沉積有一或更多之薄膜或材料層。在某些實施例之中,光阻與基板可以已受過離子植入處理。處理200係繼續到方塊203,在此進行主體光阻的剝除。在某些實施例之中,在方塊203之前可以有一或更多之操作或其包括一或更多之操作,俾去除薄外殼層。在特定之實施例中,方塊203係涉及氫基的剝除化學物質。剝除化學物質的例子係包括:H2/N2、H2/N2/Ar、H2/N2/He、H2/N2/其它之惰性氣體、H2/Ar、H2/He、及H2/其它之惰性氣體。可以不用H2而改用其它之含氫的化合物、或除了採用H2以外,更採用其它之含氫的化合物。此種例子包括NH2。可以不用N2、Ar或He而改用其它之惰性化合物、或除了採用N2、Ar或He以外,更採用其它之惰性化合物。在這些例子之中,剝除化學物質並不包括氧化劑或含鹵素的化合物。在某些其它的實施例之中,除了在此所述之N2與惰性氣體以外,剝除化學物質係包括氧化劑及/或氟或其它之含鹵素的化合物、或不用在此所述之N2與惰性氣體而改用包括氧化劑及/或氟或其它之含鹵素的化合物之剝除化學物質。在某些實施例之中,氧化劑為相當強的氧化劑,例如O2、或弱氧化劑。弱氧化劑的例子係包括碳氧化物,例如二氧化碳(CO2)、一氧化碳(CO),氮氧化物,例如一氧化二氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、及硫氧化物,例如一氧化硫(SO)與二氧化硫(SO2)。其它之弱氧化物的例子係包括以下任一者:碳氫化合物(CXHYOZ)與水(H2O)。其它之強氧化劑的例子係包括臭氧(O3)與過氧化氫(H2O2)。含氟的化合物之例子係包括三氟化氮(NF3)、六氟化硫(SF6)、六氟乙烷(C2F6)、四氟甲烷(CF4)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)、八氟丙烷(C3F8)、八氟環丁烷(C4F8)、八氟[1-]丁烷(C4F8)、八氟[2-]丁烷(C4F8)、八氟異丁烯(C4F8)、氟(F2)等等。
處理200將繼續到方塊205,在此進行主體光阻剝除終點偵測。 方塊205係涉及紅外線偵測、光偵測、放射偵測或其它適當的偵測方法。處理200繼續到方塊207,在此進行過剝除操作。在某些實施例之中,過剝除化學物質與處理條件係與方塊203之中進行主體光阻剝除所用者相同。在某些其它的實施例之中,方塊205係涉及使用與方塊203不同的化學物質、成分濃度、或處理條件。此種例子包括使用比方塊203更低的溫度或更低的氫濃度。
可以測量過剝除操作而將其當作主體剝除操作之期間的百分比。在達到終點之前,至少局部的Si晶圓或其它之基板將被光阻所覆蓋。在過剝除的期間,基板將特別容易由於氫基的化學物質所引起的差排而受傷。過剝除步驟的期間將可藉由實驗加以決定且隨著不同的光罩階層與植入步驟而異。在某些實施例之中,過剝除期間的範圍約為達到終點之時間的0至200%,例如,0至50%、或0至20%。最小化過剝除亦將減少熱預算且減少基板受到電漿的照射。
在某些實施例之中,藉由氮或其它之惰性氣體稀釋氫,將可減少被Si或其它之基板吸收到其中的氫。例如,當測量容積流量百分比時,氫濃度[H]係在約2%至16%之間。圖3為顯示:在(a)受到使用16%之H2的光阻剝除電漿的照射、(b)受到使用4%之H2的光阻剝除電漿的照射受、(c)裸控制的Si晶圓及(d)在進行30秒的900℃之快速熱處理(RTP)退火之後,達到矽晶圓之400Å的深度處之原子濃度的圖形(當藉由二次離子質譜儀(SIMS)加以測量時)。在(a)與(b)的期間,將氮當作稀釋劑使用。圖3係顯示出:在進行與光阻剝除電漿之中的[H]相關的剝除之後的晶圓之中的H原子的數量。圖3亦顯示出:依未顯示有任一信號高於雜訊的後期RTP曲線,這些原子在RTP退火之後係被驅出。雖然無特定之理論的根據,但吾人相信:在進行用以驅出H原子之剝除後的處理之後,例如RTP退火,將在Si晶體之中產生爆震波而引起差排。
雖然具有16%之[H]的剝除處理氣體將產生乾淨且快速及有效地去除長桁與其它之殘餘物,但其將造成基板之中的差排。因此,在某些實施例之中,使用具有小於16%之[H]的剝除處理氣體,例如,在2%與15%之間、或在2%與10%之間,俾減少缺陷。在某些實施例之中,[H]係小於8%或5%。
圖4係顯示出:(a)在曝露於使用16%之H2的光阻剝除劑、(b)曝露於使用4%之H2的光阻剝除劑、及(c)裸控制Si晶圓之後,對矽晶圓進行FTIR光譜。如圖4所示,雖然在4%與16%的光譜中顯示出Si-H鍵結的增加,但只有16%的光譜顯示出Si-H2鍵結的增加。這代表:Si晶圓之中的鍵結能被剝除化學物質之中的[H]所調節。
在特定之實施例中,對剝除處理氣體之中的氫加以控制,俾能不僅調節鍵結,也可調節系統之中的氫的結合。這可藉由對每一層使用約在1到2400sccm-秒之間的[H]×時間的交叉乘積(cross-product)而據以實施,其中就容積流量與t測量[H]。例如,[H]×時間係在約50至2000sccm-秒之間,例如,對每一層進行50至1000sccm-秒之間、或在每次穿過剝除設備的傳遞時,進行約50至500sccm-秒。吾人應注意到:根據各種實施例,具有在這些範圍之間的交叉乘積之剝除操作可具有或不具有上述之小於16%的[H]。
在某些實施例之中,在100℃至400℃之間的溫度進行剝除操作。在某些實施例之中,溫度係低於約285℃,例如,在200℃至285℃之間、或在200℃至250℃之間。兩種氫皆被吸收在Si晶體之中、晶體之內的氫擴散、Si-H與Si-H2鍵結的形成及差排的形成與傳播將受到溫度的影響。扭曲對的形成與移動係受到溫度的影響。低溫與特別低的熱預算(定義成時間與溫度的乘積而具有s.K的單位)係對差排形成與傳播的動能具有強烈影響。雖然無特定之理論的根據,但吾人相信:氫擴散的速率及/或Si-H與Si-H2鍵結的形成顯現出阿瑞尼斯反應,與溫度呈指數關係,而與時間及濃度呈線性相依。因此,在200℃至285℃之間的處理溫度、或在某些實施例之中的約200℃至250℃之間的處理溫度將減少一或更多個對裝置完整性造成影響的機制。接著,藉由最小化材料損失、同時使基板乾淨而無殘餘物的狀況下,來決定整體之熱預算。
在某些實施例之中,就植入條件與濃度加以控制。圖5A係顯示出閘極之下方的摻雜有碳之矽。Si晶體之中的碳雜質將由於較短的Si-C鍵結而引起應變。張應力係引起大於平衡晶格常數及較長的Si-Si鍵結。從裝置觀點來看,這是有益的,即形成較高密度的電荷載子及較快速的裝置。機械上,這是不利且有助於缺陷的形成。在某些實施例之中,氫基的剝除 處理係造成碳耗盡,而形成晶體之中的空缺且使應變增加。這圖示於圖5B之中。圖6係顯示出對結晶Si之中的碳成分之SIMS分析,呈現出氫電漿之照射的函數。當電漿之中的氫濃度從4%增加到16%時,將測量到較低能階的碳。為了進行控制而測量碳的最高能階,其中並不存在電漿。圖6係顯示出植入的C與來自光阻剝除的H之間的互動關係。在某些實施例之中,可以藉由減少摻雜物的植入濃度而最小化氫對由氫與碳之間的反應(或其它之摻入元素,例如碳、硼、磷、砷等等)所引起之基板應變的影響。例如,連同使用氫電漿處理而對碳植入作±15%的調節將在應變力學上有提高免於有不必要之差排的效能。根據各種實施例,在6到10KeV的能量時,C植入的劑量範圍在5×1014至5×1016。例如,處理可以在8KeV時使用6×1015的劑量。在某些實施例之中,就非氫基剝除所計算出的摻雜植入劑量將減少達15%。
在如同上述或其它的實施例之中,在一或更多之氫基的光阻剝除處理之後,將進行排氣處理。圖7係顯示出光阻剝除處理之特定的操作之流程圖。處理700係開始於方塊701,在此提供其上具有光阻的基板。如上所述,在某些實施例之中,光阻與基板係已預先受過植入處理。處理700繼續到方塊703,在此進行氫基的剝除處理。上述已說明剝除化學物質且,例如,其基本上包括藉由N2或其它之惰性稀釋劑加以稀釋的H2。吾人應注意到:根據各種實施例,方塊703係包括一或更多之外殼去除操作、主體光阻操作與過剝除操作。在方塊703的期間,使氫併入基板之中。處理700繼續到方塊705,在此以相當低的溫度進行排氣,例如,在約200℃至450℃之間。圖8係顯示出:(a)在受到利用16%之H2的光阻剝除電漿之照射且在空氣中進行12小時之200℃的退火、及(b)受到利用4%之H2的光阻剝除電漿之照射且在空氣中進行12小時之200℃的退火之後,相較於控制組之FTIR光譜。圖8係顯示出:在相當低的溫度下,將結合的氫加以驅出。雖然無特定之理論的根據,但吾人相信:在這些相當低的溫度時驅出氫原子將不會造成差排,而這些差排則會在使用較高的溫度時產生,例如藉由RTP處理。根據各種實施例,在低於約450℃、低於400℃、低於約300℃時進行低溫排氣。
再參照圖7,在某些實施例之中,處理700係繼續到方塊707,在 此進行高溫植入驅進(implant drive)。高溫植入驅進係涉及RTP處理,例如在800℃的溫度或更高。在某些實施例之中,在進行方塊707之前,先進行方塊703與705兩者多次,例如,在FEOL處理的期間,在進行光阻剝除時,就進行一次排氣操作。在某些其它的實施例之中,就不同的FEOL裝置(例如,一次就NMOS加工,而另一次就PMOS加工等等)進行多次的方塊703,而在方塊707之前僅先進行一次的排氣。在某些其它的實施例之中,在方塊707之前,先進行多次的排氣處理。例如,若進行十次的方塊703的話,將進行一次到十次的方塊705。
通入氣體
將含氫的氣體,典型地含有氫分子,通入到電漿源之中。用以通入到電漿源之中的氣體係含有化學活性物質,其將被離子化、或者在電漿源被形成為電漿。如上所示,在特定之實施例中,通入氣體係基本上含有氫分子與例如氮之一或更多的惰性氣體。在某些實施例之中,通入氣體係包括不含氧的化合物。在某些實施例之中,通入氣體係包括僅含氧之弱氧化劑的化合物。熟悉本項技藝之人士應清楚瞭解:其中將存在極微量的其它之物質。可以將通入到電漿源之中的氣體預先混合、部份混合或不混合。
電漿產生
根據本發明,可以使用各種電漿源,包括RF、DC、及微波型的電漿源。在一較佳實施例中,係使用下游RF電漿源。300mm晶圓所使用之RF電漿功率的例子在約300瓦特與約10千瓦特的範圍之間。在某些實施例之中,RF電漿功率在約2000瓦特與5000瓦特之間,例如,3500W。
噴灑頭組件
根據各種實施例,經由噴灑頭組件將電漿氣體散布到工件的表面。可以將噴灑頭組件接地或施以電壓,例如0到1000瓦特的偏壓,而吸引某些帶電物質,同時不影響朝向晶圓之中性物質的流動。電漿之中的許多的帶電之物質將在噴灑頭處發生再結合。組件係包括噴灑頭本體,其為具有孔部之金屬板,俾引導電漿與惰性混合氣體到反應室之中。噴灑頭將來自電漿源活性氫再散布到更大的區域各處,而得以使用較少的電漿源。可以設定噴灑頭孔部的數量與排列,而最佳化剝除率與剝除率的一致性。若電漿源位在晶圓之中心的上方的話,較佳地,為了使活性氣體散布到外 圍區域,故使噴灑頭之中心的噴灑頭孔部較小且較少。噴灑頭係具有至少100個孔部。適合的噴灑頭係包括加州聖荷西之諾發系統公司所販售的伽瑪xPR噴灑頭或GxT滴入噴灑頭。在無噴灑頭組件的實施例之中,電漿係直接進入處理室。
處理室
處理室為任一待進行剝除操作所需之適當的反應室。其可為多處理室的設備之中的單一室或其可僅為單處理室的設備。處理室亦包括多處理站,而在其中同時對不同的晶圓進行處理。處理室可以是與進行植入、蝕刻、或其它之光阻媒介處理相同的處理室。在其它之實施例中,為剝除處理預備獨立之處理室。處理室的壓力在600米托耳到約2托耳的範圍之間。在特定之實施例中,壓力的範圍從約0.9托耳到1.5托耳之間。
處理室係包括一或更多之處理站,而在其中進行剝除操作。在特定之實施例中,一或更多之處理站係包括預熱站、至少一剝除站、及過灰化站。將晶圓支座建構成用以在處理期間支撐晶圓。晶圓支座亦在處理期間傳遞熱量給晶圓且從晶圓傳出熱量,俾能視所需地調整晶圓的溫度。在特定之實施例中,晶圓受複數個最小之接觸點的支撐且實際上不接觸晶圓支撐平面。主軸係拾起晶圓且將晶圓從一處理站傳送到另一處理站。
圖9係顯示出:足以在晶圓之上實施本發明之下游電漿設備900的實施樣態之示意圖。設備900係具有電漿產生部911與被噴灑頭組件917所隔開的曝照室901。在曝照室901之內,晶圓903係靜止地置放在平台(或台座)905之上。平台905係配備有加熱/冷卻元件。在某些實施例之中,平台905亦構成為對晶圓903施加偏壓。經由導管907的真空泵浦而使曝照室901之中達到低壓。氣態氫(具有或不具稀釋/載體氣體)與二氧化碳(或其它之弱氧化劑)的供應源係經由入口909而供應一流量的氣體到設備的電漿產生部911之中。電漿產生部911的局部係被感應線圈913所圍繞,而感應線圈913則連接至電源915。在操作的期間,將混合氣體通入到電漿產生部911之中、對感應線圈913供給能量且在電漿產生部911之中產生電漿。被施加電壓或被接地的噴灑頭組件係將物質的流體引導到曝照室901之中。如上所述,晶圓903係受溫控及/或被施加射頻(RF)偏壓。可以採用各種架構與幾何形狀的電漿源911與感應線圈913。例如,感應線圈 913係以交錯的形式捲繞式地圍繞電漿源911。在另一例子之中,電漿源911係改呈半球形,而非圓柱形。
本發明之另一實施樣態為一種設備,而將其建構成用以完成在此所述之方法。適當的設備係包括用以完成處理操作的硬體,及具有用以控制根據本發明之處理操作之指令的系統控制器。可以將機器可讀取媒體耦接於控制器且此機器可讀取媒體係含有用以控制這些操作所需之處理條件的指令。系統控制器係典型地包含一或更多之記憶裝置與構成為執行指令的一或更多之處理器,俾能使設備能夠進行根據本發明之實施例的方法。將含有控制處理操作用的指令之機器可讀取媒體耦接於系統控制器。在圖9與圖10中,例如,使控制器950連接於處理室的元件,且控制器950係控制處理氣體的成分、壓力、溫度與剝除操作之晶圓指向。
控制處理操作用的指令可以被硬碼化或者是軟體。在某些實施例之中,系統控制器係包括程式,其包括任何形式的邏輯碼。例如,其包括在數位信號處理器與類似的處理器之中的邏輯硬碼,而其具有可據以實施成硬體之特定的演算法。其亦包括可以在泛用電腦之中加以執行的韌體指令的軟體。
適合的電漿處理室與系統係包括由加州聖荷西之諾發系統公司所出的伽瑪2100、2130I2CP(交錯式感應耦合電漿)、G400、與GxT。其它之系統係包括馬里蘭州洛克維爾市之亞舍立科技公司的Fusion line、韓國之PSK科技公司的TERA21、及加州弗利蒙之麥特森科技公司的Aspen。此外,可以將各種剝除處理室建構在叢集工具之上。例如,可以將剝除處理室附設於加州聖克拉拉之應用材料公司的Centura叢集工具。
圖10係顯示:包括有處理站1、2、3、4、5與6的多站之設備的簡化上視略圖。將經由處理室1001而進入處理站1之設備的晶圓依序傳送到各處理站而在該處理站進行處理操作,且在處理完成之後,經由處理室1002從處理站6離開。
上述之設備/處理,例如,可以與連同用以加工或製造半導體裝置、顯示裝置、LED、光電板等等的光刻圖案化工具或處理一起使用。典型為,雖非一定必要,但此種工具/處理將被用於一般的加工設施之中、或在一般的加工設施之中一起處理。薄膜的光刻圖案化典型為包含以下之某 些或所有的步驟,而各步驟係可藉由多種可能的工具加以實行:(1)利用旋塗或噴塗工具在如基板的工件之上塗布光阻、(2)利用熱板或加熱爐或UV烘乾工具烘乾光阻、(3)藉由例如晶圓步進機等工具使光阻受到可見光、UV或X光的照射、(4)使光阻顯影,俾能選擇性地去除光阻且藉以利用例如濕台之工具加以圖案化、(5)藉由利用乾式或電漿輔助蝕刻工具使光阻圖案轉移到下層的薄膜或工件、及(6)利用例如RF或微波電漿光阻剝除機等工具去除該光阻。
雖然已藉由一些較佳實施例說明本發明,但吾人應可明顯理解:本發明之範圍並不僅限於以上所提出的細節。吾人亦可採用對上述之較佳實施例所作之多種修改。
200‧‧‧處理
201‧‧‧提供其上具有光阻的基板
203‧‧‧主體光阻剝除
205‧‧‧終點偵測
207‧‧‧過剝除

Claims (18)

  1. 一種光阻剝除操作的方法,包含以下步驟:一基板的供給步驟,供給其上設有一外露矽表面及一離子植入光阻的一基板至反應室,該離子植入光阻包含一主體光阻及在該主體光阻上的一碳化外層;一基板的曝射步驟,使該基板受到由一處理氣體所產生之一電漿的曝射,而該處理氣體含有氮(N2)與氫(H2),其中該處理氣體中之H2的容積流量百分比係在2%與16%之間以去除該基板之上的光阻。
  2. 如申請專利範圍第1項之光阻剝除操作的方法,其中該處理氣體係實質含有不含氧的化合物。
  3. 如申請專利範圍第1項之光阻剝除操作的方法,其中該處理氣體中之H2的容積流量百分比係在2%與10%之間。
  4. 如申請專利範圍第1項之光阻剝除操作的方法,其中該處理氣體中之H2的容積流量百分比係小於8%。
  5. 如申請專利範圍第1項之光阻剝除操作的方法,其中該處理氣體中之H2的容積流量百分比為4%。
  6. 如申請專利範圍第1項之光阻剝除操作的方法,其中該處理氣體係選自由H2/N2、H2/N2/Ar、及H2/N2/He所構成之一群組。
  7. 如申請專利範圍第1項之光阻剝除操作的方法,其中使基板受到該電漿的曝射達一時間t且該處理氣體[H]中之H2的容積流量百分比與時間t的交叉乘積([H]×t)係在50至2000sccm一秒之間。
  8. 如申請專利範圍第7項之光阻剝除操作的方法,其中[H]×t係在50至500sccm一秒之間。
  9. 如申請專利範圍第1項之光阻剝除操作的方法,其中該基板的溫度係在200℃與285℃之間。
  10. 如申請專利範圍第1項之光阻剝除操作的方法,其中該基板的溫度係在200℃與250℃之間。
  11. 如申請專利範圍第1項之光阻剝除操作的方法,更包含塗佈光阻於該基板、使該光阻受到光線的曝射、圖案化該光阻、且使該圖案化轉移到該基板。
  12. 一種光阻剝除操作的方法,包含以下步驟:一基板的供給步驟,供給其上設有一外露矽表面及一離子植入光阻的一基板至反應室,該離子植入光阻包含一主體光阻及在該主體光阻上的一碳化外層;一基板的曝射步驟,使該基板受到由一處理氣體所產生之一電漿的曝射,而該處理氣體含有氮(N2)及氫(H2),藉以去除該基板之上的光阻;一排氣步驟,在使基板受到電漿的曝射之後,在基板溫度為200℃至450℃之間的狀態下對基板進行排氣。
  13. 如申請專利範圍第12項之光阻剝除操作的方法,更包含在該排氣步驟之後,在基板溫度為至少800℃的狀態下進行高溫植入驅進處理。
  14. 如申請專利範圍第12項之光阻剝除操作的方法,其中該處理氣體更含有一弱氧化劑。
  15. 如申請專利範圍第12項之光阻剝除操作的方法,其中該處理氣體中之H2的容積流量百分比為16%或更大。
  16. 如申請專利範圍第12項之光阻剝除操作的方法,其中該處理氣體中之H2的容積流量百分比係小於16%。
  17. 如申請專利範圍第12項之光阻剝除操作的方法,其中該處理氣體中之H2的容積流量百分比係小於10%。
  18. 如申請專利範圍第12項之光阻剝除操作的方法,其中該處理氣體中之H2的容積流量百分比係小於5%。
TW101130809A 2011-08-26 2012-08-24 用於改良之元件完整性之光阻剝除處理 TWI598704B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161528029P 2011-08-26 2011-08-26
US13/590,083 US9613825B2 (en) 2011-08-26 2012-08-20 Photoresist strip processes for improved device integrity

Publications (2)

Publication Number Publication Date
TW201331725A TW201331725A (zh) 2013-08-01
TWI598704B true TWI598704B (zh) 2017-09-11

Family

ID=47215348

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101130809A TWI598704B (zh) 2011-08-26 2012-08-24 用於改良之元件完整性之光阻剝除處理

Country Status (7)

Country Link
US (1) US9613825B2 (zh)
EP (1) EP2562796A3 (zh)
JP (1) JP6598420B2 (zh)
KR (1) KR102013959B1 (zh)
CN (1) CN102955381B (zh)
SG (1) SG188065A1 (zh)
TW (1) TWI598704B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) * 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
JP2014146748A (ja) * 2013-01-30 2014-08-14 Toshiba Corp 半導体装置及びその製造方法並びに半導体基板
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid
US9543157B2 (en) * 2014-09-30 2017-01-10 Infineon Technologies Ag Method for processing a carrier, a method for operating a plasma processing chamber, and a method for processing a semiconductor wafer
WO2016077645A1 (en) * 2014-11-12 2016-05-19 Ontos Equipment Systems Simultaneous hydrophilization of photoresist surface and metal surface preparation: methods, systems, and products
KR102148833B1 (ko) * 2016-02-26 2020-08-28 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 주입형 포토레지스트 스트리핑 공정
FR3086101B1 (fr) * 2018-09-17 2022-07-08 Ion Beam Services Dispositif d'amelioration de la mobilite des porteurs dans un canal de mosfet sur carbure de silicium
US11527412B2 (en) * 2020-08-09 2022-12-13 Applied Materials, Inc. Method for increasing photoresist etch selectivity to enable high energy hot implant in SiC devices

Family Cites Families (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201579A (en) 1978-06-05 1980-05-06 Motorola, Inc. Method for removing photoresist by hydrogen plasma
US4357203A (en) 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
JPH0770524B2 (ja) 1987-08-19 1995-07-31 富士通株式会社 半導体装置の製造方法
JPH0777211B2 (ja) 1987-08-19 1995-08-16 富士通株式会社 アッシング方法
JPH01200628A (ja) 1988-02-05 1989-08-11 Toshiba Corp ドライエッチング方法
US4961820A (en) 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
US5354386A (en) 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
US5122225A (en) 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
JPH05275326A (ja) 1992-03-30 1993-10-22 Sumitomo Metal Ind Ltd レジストのアッシング方法
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
JPH06208972A (ja) 1993-01-12 1994-07-26 Matsushita Electric Ind Co Ltd プラズマ処理方法
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
EP0664347A3 (en) 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5900351A (en) 1995-01-17 1999-05-04 International Business Machines Corporation Method for stripping photoresist
JP2956524B2 (ja) 1995-04-24 1999-10-04 日本電気株式会社 エッチング方法
US5817406A (en) 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
JPH0936099A (ja) 1995-07-19 1997-02-07 Toshiba Corp ドライエッチング方法
JP3585591B2 (ja) 1995-07-29 2004-11-04 株式会社半導体エネルギー研究所 エッチング装置及びエッチング方法
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US5707485A (en) 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
JPH09205130A (ja) 1996-01-17 1997-08-05 Applied Materials Inc ウェハ支持装置
US6013574A (en) 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US5651860A (en) 1996-03-06 1997-07-29 Micron Technology, Inc. Ion-implanted resist removal method
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5814155A (en) 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6127262A (en) 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6129091A (en) 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
US6562544B1 (en) 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5911834A (en) 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5830775A (en) 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US5811358A (en) 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
JPH10209118A (ja) 1997-01-28 1998-08-07 Sony Corp アッシング方法
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH1187307A (ja) 1997-09-05 1999-03-30 Sony Corp レジストの除去方法及びその除去装置
US5908672A (en) 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6536449B1 (en) 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
EP0940846A1 (en) 1998-03-06 1999-09-08 Interuniversitair Micro-Elektronica Centrum Vzw Method for stripping ion implanted photoresist layer
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5980770A (en) 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6086952A (en) 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6342446B1 (en) 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6107184A (en) 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6121091A (en) * 1999-01-19 2000-09-19 Taiwan Semiconductor Manufacturing Company Reduction of a hot carrier effect phenomena via use of transient enhanced diffusion processes
US6417080B1 (en) 1999-01-28 2002-07-09 Canon Kabushiki Kaisha Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
JP3728165B2 (ja) 1999-01-28 2005-12-21 キヤノン株式会社 イオン注入されたホトレジストの残渣の処理方法及び半導体装置の製造方法
US6130166A (en) 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6242350B1 (en) 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
JP3287406B2 (ja) * 1999-06-11 2002-06-04 日本電気株式会社 半導体装置の製造方法
US6709715B1 (en) 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6177347B1 (en) 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6492186B1 (en) 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6767698B2 (en) 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US6365516B1 (en) 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US20010027023A1 (en) 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
JP2001308078A (ja) 2000-02-15 2001-11-02 Canon Inc 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム
US6184134B1 (en) 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6667244B1 (en) 2000-03-24 2003-12-23 Gerald M. Cox Method for etching sidewall polymer and other residues from the surface of semiconductor devices
US6409932B2 (en) * 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
JP4470274B2 (ja) 2000-04-26 2010-06-02 東京エレクトロン株式会社 熱処理装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6426304B1 (en) 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
DE10051380C2 (de) 2000-10-17 2002-11-28 Advanced Micro Devices Inc Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
US6569257B1 (en) 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US20020127853A1 (en) 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6319842B1 (en) 2001-01-02 2001-11-20 Novellus Systems Incorporated Method of cleansing vias in semiconductor wafer having metal conductive layer
US6589879B2 (en) 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
CN1322556C (zh) 2001-02-15 2007-06-20 东京毅力科创株式会社 被处理件的处理方法及处理装置
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6723654B2 (en) 2001-03-30 2004-04-20 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
US6951823B2 (en) 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6875702B2 (en) 2001-06-11 2005-04-05 Lsi Logic Corporation Plasma treatment system
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US20030036284A1 (en) 2001-08-16 2003-02-20 Yu-Ren Chou Method for removing the photoresist layer of ion-implanting process
US6872652B2 (en) 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP5038567B2 (ja) 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
JP4838464B2 (ja) 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
US6680164B2 (en) 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP4326746B2 (ja) 2002-01-07 2009-09-09 東京エレクトロン株式会社 プラズマ処理方法
US6720132B2 (en) 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6848455B1 (en) 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6656832B1 (en) 2002-07-25 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd Plasma treatment method for fabricating microelectronic fabrication having formed therein conductor layer with enhanced electrical properties
US7833957B2 (en) 2002-08-22 2010-11-16 Daikin Industries, Ltd. Removing solution
US6900135B2 (en) 2002-08-27 2005-05-31 Applied Materials, Inc. Buffer station for wafer backside cleaning and inspection
US6777173B2 (en) 2002-08-30 2004-08-17 Lam Research Corporation H2O vapor as a processing gas for crust, resist, and residue removal for post ion implant resist strip
US6693043B1 (en) 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
KR100476136B1 (ko) 2002-12-02 2005-03-10 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면처리장치
US6780782B1 (en) 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
KR20060038925A (ko) 2003-05-07 2006-05-04 액셀리스 테크놀러지스, 인크. 광역온도범위의 척 시스템
US20040237997A1 (en) 2003-05-27 2004-12-02 Applied Materials, Inc. ; Method for removal of residue from a substrate
KR100542031B1 (ko) 2003-05-30 2006-01-11 피에스케이 주식회사 반도체 제조공정에서의 포토레지스트 제거방법
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7799685B2 (en) * 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US6924239B2 (en) 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
US20050106888A1 (en) 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
US20050158667A1 (en) 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
JP2005268312A (ja) 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
CN1914714B (zh) 2004-03-31 2011-09-28 富士通半导体股份有限公司 基板处理装置及半导体装置的制造方法
US7628864B2 (en) 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7632756B2 (en) 2004-08-26 2009-12-15 Applied Materials, Inc. Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
JP2006073612A (ja) 2004-08-31 2006-03-16 Rohm Co Ltd レジスト除去方法
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060051965A1 (en) 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7169623B2 (en) * 2004-09-09 2007-01-30 Tegal Corporation System and method for processing a wafer including stop-on-aluminum processing
US20060102197A1 (en) 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
KR100607777B1 (ko) 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
DE102004063036A1 (de) 2004-12-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden von Kontaktflecken
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7344993B2 (en) 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US7268071B2 (en) 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
US7432172B2 (en) 2005-01-21 2008-10-07 Tokyo Electron Limited Plasma etching method
JP2006203035A (ja) 2005-01-21 2006-08-03 Tokyo Electron Ltd プラズマエッチング方法
JP2006221772A (ja) 2005-02-14 2006-08-24 Fuji Photo Film Co Ltd ディスク状情報媒体の製造方法
US7198677B2 (en) 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP2006351594A (ja) 2005-06-13 2006-12-28 Toshiba Ceramics Co Ltd 半導体ウェーハの電気特性の測定方法
JP2007019367A (ja) 2005-07-11 2007-01-25 Ricoh Co Ltd 半導体装置の製造方法
JP5011852B2 (ja) 2005-07-20 2012-08-29 富士通セミコンダクター株式会社 電子デバイスの製造方法
US7411298B2 (en) 2005-08-17 2008-08-12 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices
US7468326B2 (en) 2005-08-24 2008-12-23 United Microelectronics Corp. Method of cleaning a wafer
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
JP2007109744A (ja) 2005-10-11 2007-04-26 Tokuyama Corp 基板洗浄液
KR100742279B1 (ko) 2005-12-22 2007-07-24 삼성전자주식회사 반도체 소자의 제조 장치 및 방법
KR20070069802A (ko) 2005-12-28 2007-07-03 엘지.필립스 엘시디 주식회사 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7851369B2 (en) 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
US8124516B2 (en) 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7595005B2 (en) 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
DE102006062035B4 (de) 2006-12-29 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement
US8083963B2 (en) 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5332052B2 (ja) 2007-06-01 2013-11-06 シャープ株式会社 レジスト除去方法、半導体製造方法、及びレジスト除去装置
KR101440282B1 (ko) 2007-07-11 2014-09-17 주성엔지니어링(주) 플라즈마 세정 방법
US20090061623A1 (en) 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
JP2009094115A (ja) * 2007-10-04 2009-04-30 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
JP5102653B2 (ja) 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US20090277871A1 (en) 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US20090277472A1 (en) * 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
US8791001B2 (en) 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
KR101791685B1 (ko) * 2008-10-14 2017-11-20 노벨러스 시스템즈, 인코포레이티드 수소 이용 화학 반응으로 고용량 주입 스트립(hdis) 방법 및 장치
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20120024314A1 (en) 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
US20100130017A1 (en) 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
WO2011008436A2 (en) 2009-07-13 2011-01-20 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
TWI559501B (zh) * 2009-08-07 2016-11-21 半導體能源研究所股份有限公司 半導體裝置和其製造方法
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8415212B2 (en) * 2010-03-11 2013-04-09 Freescale Semiconductor, Inc. Method of enhancing photoresist adhesion to rare earth oxides
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP7070524B2 (ja) * 2019-10-02 2022-05-18 株式会社三洋物産 遊技機

Also Published As

Publication number Publication date
KR20130023167A (ko) 2013-03-07
CN102955381A (zh) 2013-03-06
SG188065A1 (en) 2013-03-28
CN102955381B (zh) 2019-07-09
TW201331725A (zh) 2013-08-01
EP2562796A3 (en) 2013-09-18
KR102013959B1 (ko) 2019-08-23
EP2562796A2 (en) 2013-02-27
US20130048014A1 (en) 2013-02-28
US9613825B2 (en) 2017-04-04
JP6598420B2 (ja) 2019-10-30
JP2013051416A (ja) 2013-03-14

Similar Documents

Publication Publication Date Title
TWI598704B (zh) 用於改良之元件完整性之光阻剝除處理
US9941108B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
US9564344B2 (en) Ultra low silicon loss high dose implant strip
JP5770740B2 (ja) 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
US9128382B2 (en) Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
TWI686866B (zh) 用以提升光阻剝除性能及改質有機膜的過氧化物蒸氣處理
KR20140004579A (ko) 높은 선택도에 의한 폴리실리콘 및 자연 산화물의 제거
JP5586077B2 (ja) 水素ベースの化学反応による高用量注入後の剥離(hdis)