JP6598420B2 - 改良されたデバイスインテグリティのためのフォトレジスト剥離プロセス - Google Patents

改良されたデバイスインテグリティのためのフォトレジスト剥離プロセス Download PDF

Info

Publication number
JP6598420B2
JP6598420B2 JP2012186425A JP2012186425A JP6598420B2 JP 6598420 B2 JP6598420 B2 JP 6598420B2 JP 2012186425 A JP2012186425 A JP 2012186425A JP 2012186425 A JP2012186425 A JP 2012186425A JP 6598420 B2 JP6598420 B2 JP 6598420B2
Authority
JP
Japan
Prior art keywords
photoresist
substrate
hydrogen
volume flow
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012186425A
Other languages
English (en)
Other versions
JP2013051416A (ja
Inventor
ロエイ・シャビブ
カーク・オストロウスキ
デイビッド・チェン
ジューン・パーク
バユ・ザドジョイソロ
パトリック・ジェイ.・ロード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2013051416A publication Critical patent/JP2013051416A/ja
Application granted granted Critical
Publication of JP6598420B2 publication Critical patent/JP6598420B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Formation Of Insulating Films (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

[関連出願の相互参照]
本出願は、参照により本明細書に援用される、2011年8月26日に出願された米国特許仮出願第61/528,029号及び2012年8月20日に出願された米国特許出願第13/590,083号に基づく優先権を主張する。
フォトレジストは、特定の製造プロセスにおいて、処理中に、例えば、半導体ウェハ等の被加工物上にパターン化被覆物を形成するよう用いられる感光材料である。フォトレジストで被覆された表面に高エネルギー放射線のパターン露光を施した後、フォトレジストの一部が除去されてその下の表面を露出させ、残りの表面は保護される。エッチング、デポジション、及びイオン注入といった半導体プロセスは、露出した表面と残っているフォトレジストに対して行われる。1つ以上の半導体プロセスを行った後、残りのフォトレジストは、剥離処理において除去される。
シリコンウェハ又はその他の基板における転位を減少させる、水素ベースのフォトレジスト剥離処理方法及び装置が提供される。様々な実施形態において、水素ベースのフォトレジスト剥離方法は、以下の技術を1つ又は複数利用してもよい。1)オーバーストリッピングの継続時間を最小限にし、短い工程を採用することにより、水素収支を最小にする、2)例えば2%〜16%の水素濃度の希釈水素を供給する、3)プロセス条件や化学反応を制御することにより、材料の損失を最小限に抑える、4)レジスト剥離を低温で行う、5)注入条件及び濃度を制御する、6)剥離後のベントプロセスを1つ又は複数行う。フォトレジスト剥離方法を実施するために好適な装置も提供される。
方法は、フォトレジストをその表面に有する基板を反応チャンバに供給し、窒素及び水素を含み、約2%から16%の間の水素濃度[H]を有するプロセスガスにより生成されるプラズマに基板を暴露することにより、基板からフォトレジストを除去することを備える。ある実施形態では、プロセスガスは窒素分子(N2)及び水素分子(H2)を含む。特定の実施形態では、プロセスガスは実質的に酸素含有化合物を含まない。実施形態によると、水素濃度は約2%から10%の間であり、8%未満であってもよい。ある実施形態では、水素濃度は約4%である。
プロセスガスの化学物質として、H2/N2、H2/N2/Ar、及びH2/N2/Heが挙げられる。ある実施形態では、基板は時間tの間プラズマに暴露され、[H]及び時間tのクロス乗積([H]×t)は約50から2000sccm・秒の間であるか、又は、約50から500sccm・秒の間である。特定の実施形態では、基板温度は約285℃未満であり、例えば、約200℃から250℃の間である。
本明細書におけるその他の態様は、フォトレジストをその表面に有する基板を反応チャンバに供給し、基板を、水素を含むプロセスガスにより生成されるプラズマに暴露することにより、基板からフォトレジストを除去し、基板をプラズマに暴露した後に、約200℃から450℃の間の基板温度で基板のベントを行うこと、を備える方法である。ある実施形態では、方法は、基板のベントを行った後に、少なくとも約800℃の基板温度にて、高温注入ドライブプロセスを行うことを更に備える。ある実施形態では、プロセスガスの水素濃度は16%以上である。ある実施形態では、プロセスガスの水素濃度[H]は16%未満である。ある実施形態では、プロセスガスの水素濃度[H]は10%未満である。ある実施形態では、プロセスガスの水素濃度[H]は5%未満である。
本明細書に開示する主題のその他の態様は、プラズマ源と、ガス混合体をプラズマ源内に導入するガス注入口と、ガス注入口の下流側に位置されているシャワーヘッドと、シャワーヘッドの下流側に位置されている基板支持体であって、台座と、基板支持体上に支持される基板の温度を制御する温度制御機構とを備える基板支持体と、本明細書に記載する方法を実施するために命令セットを実行するコントローラと、を備える装置である。ある実施形態では、命令セットは、窒素及び水素を含み、約2%から16%の間の水素濃度[H]を有するガス混合体をガス注入口に導入するための命令を備える。ある実施形態では、命令セットは、基板の温度を約285℃以下に保つための命令を含む。
イオン注入及び剥離処理前後の半導体製造の様々なステージを示す説明図。 イオン注入及び剥離処理前後の半導体製造の様々なステージを示す説明図。 イオン注入及び剥離処理前後の半導体製造の様々なステージを示す説明図。 イオン注入及び剥離処理前後の半導体製造の様々なステージを示す説明図。 フォトレジスト剥離プロセスの特定の処理を表すフローチャート。 原子密度を示すグラフであり、a)16%のH2使用のフォトレジスト剥離プラズマに暴露されたシリコンウェハ、b)4%のH2使用のフォトレジスト剥離プラズマに暴露されたシリコンウェハ、c)対照のベアSiウェハ、d)900℃の急速加熱処理(RTP)アニールを30秒間施したシリコンウェハについて、深さ400Åまでを表す。 a)16%のH2使用のフォトレジスト剥離後のシリコンウェハ、b)4%のH2使用のフォトレジスト剥離後のシリコンウェハ、c)対照のベアSiウェハについて、FTIRスペクトルを表す説明図。 ゲート下の炭素ドープシリコンを模式的に表す説明図。 ゲート下の炭素ドープシリコンを表す模式図。 水素プラズマへの暴露の関数として、Si結晶内の炭素含有量の分析を表す説明図。 フォトレジスト剥離プロセスの特定の処理を表すフローチャート。 a)16%のH2使用のフォトレジスト剥離プラズマに暴露し、大気中でのアニールを12時間200℃で行った後の基板、及び、b)4%のH2使用のフォトレジスト剥離プラズマに暴露し、大気中でのアニールを12時間200℃で行った後の基板について、FTIRスペクトルを、対照と比較して表す説明図。 本明細書に記載の方法を実施するのに適する、ダウンストリームプラズマ装置の態様を示す概略図。 本明細書に記載の方法を実施するのに適する、マルチステーション装置の上面を簡略化して示す模式図。
本発明の以下の詳細な説明では、本発明を完全に理解することを目的として多数の具体的な実施形態を記載する。しかし、当業者には明らかなように、本発明は、これらの具体的な詳細なしでも、又は、代替の要素若しくはプロセスを用いても実施し得る。その他の例における周知のプロセス、手順、及び構成要素は、本発明の特徴を不必要に曖昧にしないよう詳細には説明していない。
本願において、用語「被加工物」、「半導体ウェハ」、「ウェハ」、及び「部分的に製造された集積回路」は同じ意味で用いるものとする。当業者は、用語「部分的に製造された集積回路」とは、多くの集積回路製造段階の任意の段階におけるシリコンウェハを指し得ることを理解するであろう。以下の詳細な説明では、本発明がウェハに対して実施されることを前提としている。しかし、本発明はこれに限定されるものではない。被加工物は、様々な形状、サイズ、及び材料であってもよい。本発明を活用できる他の被加工物には、半導体ウェハに加えて、ディスプレイ、印刷回路基板等の様々な製品が含まれる。
フォトレジストは、特定の製造プロセスにおいて、処理中に、例えば、半導体ウェハ等の被加工物上にパターニングされた被覆物を形成するよう用いられる感光材料である。フォトレジストで被覆された表面に高エネルギー放射線のパターン露光を施した後、フォトレジストの一部が除去されてその下の表面を露出させ、残りの表面は保護される。エッチング、デポジション、及びイオン注入といった半導体プロセスは、露出した表面と残っているフォトレジストに対して行われる。1つ以上の半導体プロセスを行った後、残りのフォトレジストは、剥離処理によって除去される。
p型及びn型MOSFET及びその他のトランジスタ製造プロセス、DRAM蓄積キャパシタ製造プロセス、及びフラッシュフローティングゲート製造プロセスを含むフロント・エンド・オブ・ライン(FEOL)製造プロセスには、多数のリソグラフィパターニング処理及び多数のフォトレジスト剥離プロセスが含まれることがある。ある実施形態では、フォトレジスト剥離プロセスは、高用量注入後の剥離(HDIS)又はその他の注入後の剥離プロセスであるか、又はそれが含まれる場合がある。
イオン注入時、例えば、ホウ素、二フッ化ホウ素、インジウム、ガリウム、タリウム、リン、ヒ素、アンチモン、ビスマス、炭素、キセノン、アルゴン又はゲルマニウムのイオンといったドーパントイオンは、被加工物のターゲットに向けて加速される。イオンは、被加工物の露出領域と残っているフォトレジストの表面の両方に注入される。このプロセスにより、ウェル領域(ソース/ドレイン)、低濃度ドレイン(LDD)、ハロー注入領域、及び二重拡散ドレイン(DDD)領域、並びにその他の注入領域が形成され得る。イオン注入により、レジストに注入種が含浸し、表面水素が欠乏する。レジストの外側層、すなわちクラストは、炭化層を形成し、この炭化層は、その下にあるバルクレジスト層よりかなり高密度であり得る。この2層は、異なる熱膨張率を有し、また、異なる速度で剥離プロセスに対して反応する。
外側層とバルク層との違いは、高用量イオン注入後のレジストにおいて非常に顕著である。高用量注入において、イオン量は、1×1015イオン/cm2より多くてもよく、また、エネルギーは、10keVから100keVを上回っていてもよい。従来のHDISプロセスでは酸素化学反応が利用されており、単原子酸素プラズマがプロセスチャンバから離れて形成され、その後被加工物表面に方向付けられる。反応性酸素はフォトレジストと結合してガス状の副生成物を形成し、この副生成物は真空ポンプによって除去される。HDISでは、注入されたドーパントを酸素によって除去するために追加のガスが必要である。
注入後の剥離において考慮すべき主な事項は、剥離レート、残留物の量、及び、その下の露出した膜層の膜損失である。一般的に、残留物は、注入及び剥離後に、基板表面上に見られるものである。このような残留物は、高エネルギー注入時のスパッタリング、クラストの不完全な除去、及び/又は、レジスト内の注入原子の酸化に起因することがある。剥離後は、表面には残留物が存在しないか又は実質的に存在しない状況であるべきであり、それにより、高歩留まりが確保され、追加の残留物除去処理が不要となる。残留物は、オーバーストリッピング、即ち、全てのフォトレジストを除去するために公称上必要な点を越えて続けられる剥離プロセスによって除去される場合がある。しかし残念ながら、従来の注入後の剥離工程では、このオーバーストリッピングにより、その下の機能デバイス構造の一部も除去されてしまうことがある。デバイス層では、トランジスタのソース/ドレイン領域からの非常にわずかなシリコンの損失もデバイス性能と歩留まりに悪影響を与えてしまい、これは特に、超浅接合デバイスが32nm以下の設計ルールにおいて製造される場合に当てはまる。
ある実施形態では、本方法及び本装置は、高用量のイオン注入後のフォトレジスト材料を効率的且つ効果的に除去するために用いることができる。本方法及び本装置は、高用量注入後の剥離(HDIS)に限定されない。また、本方法及び本装置において注入されるドーパントは、特定のカテゴリに限定されない。例えば、記載する方法及び装置は、中用量又は低用量の注入後の剥離にも効果的に用いることもできる。ホウ素、ヒ素、及びリン等の具体的なドーパントイオンを用いて説明しているが、記載する方法及び装置は、窒素、酸素、炭素、ゲルマニウム、及びアルミニウム等、他のドーパントで含浸されたレジストを剥離するよう効果的に用いてもよい。さらに、本方法及び本装置は、注入後のフォトレジスト除去に限定されるものではなく、注入前のフォトレジストを除去するために使用してもよい。
本明細書に記載する方法及び装置は、フォトレジスト及び/又は関連残留物を除去するために水素ベースの化学(化学物質)を用いる。ある実施形態では、化学物質には水素、及び窒素、ヘリウム、アルゴン等の選択ガスが含まれ、酸化剤又はフッ素ベースの化学物質は実質的に含まれない。他の実施形態では、化学物質に酸素含有化合物及び/又はフッ素含有化合物が含まれていてもよい。
本明細書に記載する剥離プロセスには、一般的に、様々な成分ガスを含むガスからプラズマを生成する工程が含まれる。既に指摘したように、本明細書に記載の剥離用化学物質は水素ベースである。水素分子(H2)は、通常、プラズマ生成ガスの主成分である。ある実施形態では、剥離プロセス全体のうち1つ又は複数の処理を行う剥離用化学物質は、主にH2及びN2から成るガスによって生成される。
2/N2の化学物質等、水素ベースの剥離用化学物質は、きれいに、素早く、効果的にフォトレジストを除去するが、ある種の水素ベースの剥離プロセスでは、FEOL製造工程において、シリコン基板において予期せぬ転位又はその他の欠陥が生じることが判明した。これらの欠陥はデバイスインテグリティを損なう可能性がある。Si結晶において転位が生じると、デバイス性能にとっては好ましくない場合がある。ある場合には転位によって格子歪の好ましい効果が帳消しにされたり、デバイスの速度が低下したりすることもある。別の場合には転位によって歩留まり損失が生じることもある。さらに別の場合には転位によってデバイスの信頼性に問題が生じ、長期的な運用に影響を与え得る。本明細書に記載する方法及び装置は、欠陥を減らし、又は最小限に抑えつつ、フォトレジストを効果的に剥離するものである。
図1A〜図1Dは、イオン注入及び剥離処理前後の半導体製造の様々なステージを示す。図1A〜図1Dは本明細書に記載される方法を用いる製造プロセスの一例ではあるものの、本方法はこれに限定されるものではなく、特定のFEOLプロセスに適用し、任意の水素ベースのフォトレジスト剥離プロセスにおいて使用することができる。図1Aは、フォトレジスト材料103により被覆された半導体基板101を示す。基板101は、例えば、酸化膜、シリサイドコンタクト、及び/又はポリシリコン膜といった1以上のデポジション膜層を含むか、又は、例えば、シリコン・オン・インシュレータ型の基板を含むベアシリコン基板であってよい。最初に、フォトレジスト材料により、基板の表面全体を被覆する。次に、フォトレジストに、マスクを介して放射線のパターン露光を施し、材料の一部、例えば、図1Aに示すように、残っているフォトレジスト材料103間の開口104を除去するよう現像する。
次に、基板に、イオン注入プロセスが行われる。イオン注入時に、被加工物、即ち、ウェハの表面には、ドーパントイオンが注入される。このプロセスは、例えば、プラズマ浸漬イオン注入(PIII)又はイオンビーム注入であってもよい。イオンは、露出したシリコン層101とフォトレジスト103を含む基板表面に衝突する。高エネルギーイオン注入では、少量の礎材107がフォトレジスト側壁に付着する可能性がある。図1Bを参照されたい。この礎材には、注入種の一部、プラズマ又はイオンビーム中の他の材料、及び注入の副生成物が含まれ得る。これらには、シリコン、アルミニウム、炭素、フッ素、チタン、コバルト等の他のコンタクト材料、及び元素又は化合物形式の酸素が含まれる。実際の種は、イオン注入前の基板の組成、フォトレジスト、及び注入された種に依存する。
露出されたシリコン層101には、ドープ領域109が形成される。衝突時のイオンのエネルギー又は強度が、ドープ領域の深度又は厚さを決定する。イオン束の密度がドーピングの範囲を決定する。イオンはまた、フォトレジスト表面にも含浸し、クラスト層105を形成する。クラスト層105は、炭化され高度に架橋されたポリマー鎖であり得る。クラストは、通常、水素が欠乏し、注入種が含浸される。クラスト層105は、バルクレジスト層103より高密度である。相対密度はイオン束に依存し、一方で、クラスト層の厚さはイオンのエネルギーに依存する。
このクラスト層105は、その下のバルクフォトレジスト103よりも剥離が困難である。クラスト層の除去速度は、その下のバルクフォトレジストより50%又は75%遅い場合がある。バルクフォトレジストは、比較的高いレベルの化学結合窒素と、そのもとのキャスト溶媒の一部を含む。例えばウェハが約150℃から200℃より高温になると、バルクレジストはガスを放出し、クラスト層に対して膨張する。フォトレジスト全体は、その下のバルクフォトレジストがクラスト下で圧力を蓄積すると「ポッピング」、すなわち破裂してしまう。フォトレジストのポッピングは、パーティクルを発生させ、プロセス欠陥をもたらす。これは、ウェハ表面及びチャンバの内部部品から残留物を取り除くことが特に困難であるからである。高用量のイオン注入では、クラストとその下のバルクフォトレジスト層との間の密度差は更に大きい。更に、クラストはより厚い。
図1Cは、剥離後に、フォトレジスト103及び側壁に付着した残留物107を完全に除去することができなかった基板を示す。側壁に付着した残留物107は、従来の剥離化学反応では揮発性化合物を形成しないパーティクルを含み得る。これらのパーティクルは、従来の剥離処理では残ってしまう場合がある。残留物は更に、酸化ホウ素及び酸化ヒ素といった従来の剥離用化学物質において用いられる反応性酸素により形成される注入種の酸化物も含むこともある。クラスト105の一部も基板に残ってしまう場合がある。クラストの側壁及びフォトレジストビアの底部にある隅部は、形状によって剥離が困難なこともある。隣接する2つの露光範囲の間において露光されない部分であって、細く長尺のフォトレジスト残留物であるストリンガが存在する場合もある。この残留物のパーティクルは、オーバーストリッピングによって除去され得る。オーバーストリッピングとは、全てのフォトレジストを除去するために公称上必要な点を超えて続けられる剥離プロセスのことである。ウェハのある領域においてフォトレジストが完全に除去されていながら、他の領域では完全に除去されていない場合、剥離プロセスを続けることにより、既に剥離されている領域から、多くの場合シリコン及び酸化シリコンであるその他の材料が除去されてしまう。図1Dは全ての残留物が除去された基板を示す。
本明細書では、イオン注入又はその他のパターニング後処理後に、フォトレジストを剥離するために使用され得る、水素含有プラズマの剥離プロセスについて記載する。例えば、本明細書に記載する方法及び装置は、ハロー注入後のレジスト剥離において実施することが可能である。本方法及び本装置は、デバイスインテグリティを損なう恐れのあるSi又はその他の基板における転位を減少させる。上述のとおり、特定の実施形態によると、水素含有プラズマは、酸素及びフッ素種等のその他の反応種を含んでいても含んでいなくてもよい。特定の理論に基づくわけではないが、水素と反応する可能性のある酸素やフッ素等の種を含まない水素ベースのプラズマによって、より転位が発生しやすいと考えられている。しかし、ある実施形態では、酸素やフッ素等の反応種を含まない水素ベースのプラズマだけでなく、それらを含む水素ベースのプラズマにおいても、本明細書に記載したアプローチが有益に利用され得る。
様々な実施形態において、水素ベースのレジスト剥離プロセスは、以下の技術を1つ又は複数利用するものである。1)オーバーストリッピングの継続時間を最小限にし、短い工程を採用することにより、水素収支を最小にする、2)希釈水素、例えば2%〜16%のH2を供給する、3)プロセス条件や化学反応を制御することにより、材料の損失を最小限に抑える、4)レジスト剥離を低温で行う、5)注入条件及び濃度を制御する、6)剥離後のベントプロセスを1つ又は複数行う。これらを以下に説明する。
図2はフォトレジスト剥離プロセスのある処理を表すフローチャートである。プロセス200は、フォトレジストをその表面に有する基板を供給するブロック201から開始される。フォトレジストを有する基板の例は、図1A〜図1Cに関連して上記に記載したとおりである。基板は、例えばシリコン・オン・インシュレータ基板を含むシリコン基板であってもよい。ある実施形態では、シリコン基板の表面に直接フォトレジストを塗布してもよい。ある実施形態では、シリコン基板又は別の基板とフォトレジストとの間に、1つ又は複数の蒸着膜又は材料の層を有していてもよい。ある実施形態では、フォトレジスト及び基板にイオン注入プロセスを施してもよい。プロセス200は、バルクフォトレジストの剥離を行うブロック203へと続く。ある実施形態では、薄いクラスト層を除去するための1つ又は複数の処理を、ブロック203に先行して実行してもよく、又はその処理がブロック203に含まれていてもよい。特定の実施形態では、ブロック203には水素ベースの剥離用化学物質が関与する。剥離用化学物質は、例として、H2/N2、H2/N2/Ar、H2/N2/He、H2/N2/その他の希ガス、H2/Ar、H2/He、及びH2/その他希ガスを含む。H2の代わりに、又はH2に追加して、その他の水素含有化合物を使用してもよい。例にはNH2が含まれる。N2、Ar、又はHeの代わりに、又はそれらに追加して、その他の不活性化合物を使用してもよい。これらの例では、剥離用化学物質には酸化剤又はハロゲン含有化合物は含まれない。他の実施形態では、剥離用化学物質において、N2及び記載した希ガスの代わりに、又はそれらに追加して、酸化剤及び/又はフッ素又はその他のハロゲン含有化合物が使用されてもよい。ある実施形態では、酸化剤は、O2などの比較的強い酸化剤でもよく、又は弱酸化剤でもよい。弱酸化剤の例として、二酸化炭素(CO2)、一酸化炭素(CO)などの炭素酸化物、一酸化二窒素(N2O)、一酸化窒素(NO)、二酸化窒素(NO2)などの窒素酸化物、及び、酸化硫黄(SO)、二酸化硫黄(SO2)などの硫黄酸化物が含まれる。弱酸化物のその他の例としては、酸素含有炭化水素(CXYZ)及び水(H2O)がある。強酸化剤の例としては、オゾン(O3)及び過酸化水素(H22)がある。フッ素含有化合物の例としては、三フッ化窒素(NF3)、六フッ化硫黄(SF6)、ヘキサフルオロエタン(C26)、テトラフルオロメタン(CF4)、トリフルオロメタン(CHF3)、ジフルオロメタン(CH22)、オクタフルオロプロパン(C38)、オクタフルオロシクロブタン(C48)、オクタフルオロ[1-]ブタン(C48)、オクタフルオロ[2-]ブタン(C48)、オクタフルオロイソブチレン(C48)、フッ素(F2)等が含まれる。
プロセス200はブロック205へと続き、バルクレジスト剥離の終了点を検出する。ブロック205は赤外線検出、光学検出、発光検出又はその他適切な検出方法を使用してもよい。プロセス200はブロック207へと続き、オーバーストリッピング処理を行う。ある実施形態では、オーバーストリッピングでの化学反応及びプロセス条件は、ブロック203におけるバルクフォトレジストの剥離時に使用されるものと同じである。他の実施形態では、ブロック205において使用される化学反応、成分濃度又はプロセス条件は、ブロック203のものとは異なる。例としては、ブロック203よりも低い温度、又は低い水素濃度が挙げられる。
オーバーストリッピング処理は、バルクの剥離処理の継続時間に対するパーセンテージで測定することができる。Siウェハ又はその他の基板の少なくとも一部は、終了点まで、レジストで被覆されている。オーバーストリップ中は、基板において、水素ベースの化学反応によって生じる転位が特に生じやすい。オーバーストリッピング工程の継続時間は、実験によって決定され、異なるマスクレベル及び注入工程ごとに異なっていてもよい。ある実施形態では、オーバーストリッピングの終了点までの継続時間は、約0%から200%まで及び、例えば0から50%、又は0から20%である。オーバーストリッピングを最小限に抑えることにより、熱収支及び基板のプラズマ暴露を減少させることになる。
ある実施形態では、水素を窒素又は他の不活性ガスで希釈することにより、Si又はその他の基板への水素吸蔵を減少させる。例えば、体積流量をパーセンテージで計測すると、水素濃度[H]は約2%から16%の間であってもよい。図3は(二次イオン質量分析(SIMS)で計測した)原子密度を示すグラフであり、a)16%のH2を使用のフォトレジスト剥離プラズマに暴露されたシリコンウェハ、b)4%のH2を使用のフォトレジスト剥離プラズマに暴露されたシリコンウェハ、c)対照のベアSiウェハ、d)900℃の急速加熱処理(RTP)アニールを30秒間施したシリコンウェハについて、深さ400Åまでを表すものである。a)とb)の希釈には窒素を用いた。図3では、剥離後のウェハにおけるHの原子数は、フォトレジスト剥離プラズマにおける[H]と関連性があることが示される。また、図3は、RTP後の曲線にノイズを上回る信号が現れないことから、これらの原子がRTPアニール後に排除されることを示す。特定の理論に基づくわけではないが、H原子を排除するRTPアニール等の剥離後の処理によって、Si結晶に衝撃波が生じ、それにより転位が発生しやすいと考えられている。
16%の[H]を有する剥離プロセスガスは、ストリンガ及びその他の残留物を除去する際に素早く効果的な除去をもたらすものの、基板において転位を発生させる恐れがある。従って、ある実施形態では、欠陥を減少させるために、例えば2%から15%の間、又は2%から10%の間など、[H]が16%未満の剥離プロセスガスが用いられる。ある実施形態では、[H]は8%又は5%未満である。
図4は、a)16%のH2を使用のフォトレジスト剥離後のシリコンウェハ、b)4%のH2を使用のフォトレジスト剥離後のシリコンウェハ、c)対照のベアSiウェハについて、FTIRスペクトルを表す。図4に示すようにSi−H結合の増加は4%及び16%のスペクトルにおいて見られるが、Si−H2結合の増加は16%のスペクトルでしか見られない。これは、Siウェハにおける結合は、剥離用化学物質の[H]により調整できることを示す。
特定の実施形態では、剥離プロセスガスの水素を制御することにより、システム内への水素の導入の調整、並びに結合の調整が可能である。これは、体積流量で計測された[H]及び時間を用いて、層毎に[H]×約1から2400sccm・秒の時間のクロス乗積を取ることにより実施可能である。例えば、[H]×時間は、約50から2000sccm・秒の間であってもよく、例えば、層毎に50から1000sccm・秒の間でも、又は剥離装置を通過する毎に50から500sccm・秒の間であってもよい。なお、様々な実施形態では、この範囲内のクロス乗積を有する剥離処理において、上述のとおり、16%未満の[H]を使用してもしなくてもよい。
ある実施形態では、剥離処理は100℃から400℃の間の温度で行われる。ある実施形態では、温度は約285℃より低く、例えば、200℃から285℃の間、又は約200℃から250℃の間である。Si結晶への水素吸蔵、結晶内での水素拡散、Si−H及びSi−H2結合の形成、転位の形成及び伝搬は全て温度の影響を受ける。キンク対の生成及び移動もまた、温度の影響を受ける。低温、特に低熱収支(時間と温度との積として定義され、単位はs・Kである)は、転位の形成及び伝搬の動力学に強い影響を与える。特定の理論に基づくわけではないが、水素拡散の速度及び/又は、Si−H及びSi−H2結合の形成は、アレニウス挙動を示し、指数的に温度に関連し、時間及び濃度に一次従属すると考えられている。従って、200℃から285℃の間、ある実施形態では約200℃から250℃の間の処理時の温度により、デバイスインテグリティをもたらすメカニズムを1つ又は複数削減することが可能である。基板から残留物を取り除きつつ、材料の損失を最小限に抑えることにより、全体の熱収支が決定される。
ある実施形態では、注入条件や濃度が制御される。図5Aはゲート下の炭素ドープシリコンを表す。Si結晶内の炭素不純物は、Si−C結合が短いことから歪を生み出す。引張り応力により、格子定数が平衡状態より大きく、Si−Si結合がより長くなる。電荷キャリアの密度がより高く、デバイスがより速くなるため、デバイスの観点からは、これは有益である。機械的には、これは好ましくない上、欠陥の形成を助長する。ある実施形態では、水素ベースの剥離プロセスは炭素を欠乏させ、結晶に空格子点を生成して歪を増加させる可能性がある。これを図5Bに示す。図6は、水素プラズマへの暴露の関数として、Si結晶内の炭素含有量のSIMSによる分析を表す。プラズマ中の水素濃度が4%から16%に増加する際、低レベルの炭素が計測される。最も高いレベルの炭素が計測されたのは、プラズマが存在しない対照である。レジスト剥離に由来して注入されるC及びHの相互作用を図6に示す。ある実施形態では、水素と炭素(又は炭素、ホウ素、リン、ヒ素等のその他のドーピング成分)との反応によって生じる基板の歪への水素の影響が、ドーパント注入濃度を減少させることで最小限に抑えられる。例えば、炭素の注入を±15%調整して水素プラズマプロセスを行うことにより、不要な転位なしに性能を向上させる工学的歪が実現する。様々な実施形態において、Cの注入用量は6から10KeVのエネルギーにおいて5×1014から5×1016の範囲である。例えば、あるプロセスでは、8KeVにおいて6×1015の用量を用いてもよい。ある実施形態では、水素ベースではない剥離のために計算されるドーパント注入用量を、15%まで削減してもよい。
上記に記載した実施形態と同じ、又は別の実施形態において、1つ又は複数の水素ベースのフォトレジスト剥離プロセスの後に、ベントプロセスを実施してもよい。図7はフォトレジスト剥離プロセスの特定の処理を表すフローチャートである。プロセス700は、フォトレジストをその表面に有する基板を供給するブロック701から開始される。上述のとおり、ある実施形態では、フォトレジスト及び基板には、前もって注入プロセスが施される。プロセス700は水素ベースの剥離プロセスを行うブロック703へと続く。剥離用化学物質については上述したが、例えば、基本的に、N2又はその他の不活性希釈剤によって希釈されていているH2から成る。なお、様々な実施形態によると、ブロック703には1つ又は複数のクラスト除去処理、バルクフォトレジスト処理、及びオーバーストリッピング処理が含まれていてもよい。ブロック703において、水素が基板内に導入される。プロセス700はブロック705へと続き、比較的低温、例えば約200℃から450℃の間でベントが行われる。図8は、a)16%のH2使用のフォトレジスト剥離プラズマに暴露し、大気中でのアニールを12時間200℃で行った後、及び、b)4%のH2使用のフォトレジスト剥離プラズマに暴露し、大気中でのアニールを12時間200℃で行った後について、FTIRスペクトルを、対照と比較して表す。図8によると、導入された水素は比較的低い温度で排出され得る。特定の理論に縛られるわけではないが、比較的低い温度では、例えばRTPプロセスなどで使用される高い温度で生じるような転位を生ずることなく水素原子を排出することができると考えられている。様々な実施形態によると、低い温度でのベントは、約450℃未満、400℃未満、約300℃未満で行い得る。
図7に戻ると、ある実施形態では、プロセス700はブロック707へと続き、高温注入ドライブを行う。高温注入ドライブは、例えば800℃以上の温度でのRTPプロセスを含む。ある実施形態では、ブロック707の前に、ブロック703及び705を共に複数回実施する。例えば、FEOL処理中にフォトレジスト剥離が行われる毎にベント処理を行う場合がある。他の実施形態では、様々なFEOLデバイスのためにブロック703を複数回実施し(例えば、NMOS製造のために1回、PMOS製造のために1回など)、ベントはブロック707の前に1度行う。その他の実施形態では、ブロック707の前に複数回ベントプロセスを行ってもよい。例えば、ブロック703が10回実施される場合、ブロック705は1から10回実施することができる。
注入ガス
水素分子を通常含む水素含有ガスは、プラズマ源に導入される。プラズマ源に導入されたガスは、イオン化されるか、またはプラズマ源においてプラズマを生成する化学活性種を含む。上述のとおり、特定の実施形態において、注入ガスは基本的に水素分子から成り、窒素等の1つ又は複数の不活性ガスを含む。ある実施形態では、注入ガスには酸素含有化合物は含まれない。他の実施形態では、注入ガスは、弱酸化剤である酸素含有化合物のみを含む。当業者は、微量のその他の種が含まれる可能性があることを理解するであろう。プラズマ源内に導入されるガスは、予め混合されていても、部分的に混合されていても、混合されていなくともよい。
プラズマ生成
RF、DC、及びマイクロ波に基づいたプラズマ源等、様々なタイプのプラズマ源を本発明に用いることができる。好適な実施形態では、ダウンストリームRFプラズマ源を用いる。一例として、300mmのウェハ用のRFプラズマ出力は、約300ワットから約10キロワットの範囲にある。ある実施形態では、RFプラズマ出力は、約2000ワットから5000ワットであり、例えば3500ワットである。
シャワーヘッドアセンブリ
様々な実施形態では、プラズマガスは、シャワーヘッドアセンブリを介してワーク面に供給される。シャワーヘッドアセンブリは、ウェハへの中性種の流れに悪影響を及ぼすことなく一部の荷電種を引きつけるために、接地されていても良く、あるいは、例えば0〜1000ワットのバイアス電力で、電圧が印加されていてもよい。プラズマ中の多くの荷電種は、シャワーヘッドにおいて再結合する。アセンブリは、シャワーヘッド本体を含み、シャワーヘッド本体は、プラズマと不活性ガスの混合物を反応チャンバ内に導く複数の穴を有する金属プレートであり得る。シャワーヘッドは、プラズマ源からの活性水素を広い面積に亘って再供給するので、小型のプラズマ源を用いることが可能となる。シャワーヘッドの穴の数と配置は、剥離レート及び剥離レート均一性を最適にすべく設定される。プラズマ源がウェハの上方で中心に位置付けられる場合、シャワーヘッドの穴は、活性ガスを外側の領域に向けて押し出すようにシャワーヘッドの中心では小さく且つ数が少ないことが好適である。シャワーヘッドは、少なくとも100個の穴を有していてもよい。好適なシャワーヘッドとして、カリフォルニア州サンノゼのNovellus Systems社から入手可能であるGamma xPRシャワーヘッド又はGxTドロップインシャワーヘッドがある。シャワーヘッドアセンブリを用いない実施形態では、プラズマは、プロセスチャンバ内に直接導入される。
プロセスチャンバ
プロセスチャンバは、実行される剥離処理に適する任意の反応チャンバであってよい。プロセスチャンバは、複数のチャンバを有する装置のうちの1つのチャンバであっても、単に単一チャンバ装置であってもよい。チャンバは、異なるウェハが同時に処理される複数のステーションを含んでもよい。プロセスチャンバは、注入、エッチング、又はレジストを介在する他のプロセスが行われるチャンバと同じであってよい。別の実施形態では、剥離専用の別個のチャンバが確保される。プロセスチャンバの圧力は、約600mTorrから2Torrの範囲にあり得る。ある実施形態では、この圧力は、約0.9Torrから1.5Torrの範囲にあり得る。
プロセスチャンバは、剥離処理が行われる1つ以上のプロセスステーションを含む。ある実施形態では、1つ以上のプロセスステーションは、予熱ステーション、少なくとも1つの剥離ステーション、及びオーバーアッシングステーションを含む。ウェハ支持体は、プロセス時にウェハを支持するよう構成される。ウェハ支持体は更に、プロセス時にウェハへ又はウェハから熱を伝達し、必要に応じてウェハの温度を調整する。ある実施形態では、ウェハは、複数の最小コンタクト上に支持され、ウェハ支持体の表面には物理的に接触しない。スピンドルがウェハをピックアップし、ウェハを1つのステーションから別のステーションに移動させる。
図9は、本発明をウェハに実施するのに適する、ダウンストリームプラズマ装置900の態様を示す概略図である。装置900は、プラズマ生成部911、及び、シャワーヘッドアセンブリ917により離間される暴露室901を有する。暴露室901内には、ウェハ903がプラテン(又はステージ)905上に載置される。プラテン905には加熱/冷却部品が取り付けられる。ある実施形態では、プラテン905は更にウェハ903にバイアスを印加するよう構成される。管907を介して真空ポンプにより、暴露室901内の低圧が実現される。ガス状水素(希釈/キャリアガスを含んでいても、含んでいなくてもよい)及び二酸化炭素(又はその他の弱酸化剤)の供給源により、注入口909を介して装置のプラズマ生成部911へのガスの流入が可能になる。プラズマ生成部911には、部分的に誘導コイル913が巻回されており、一方誘導コイル913は電源915に接続される。動作時、ガス混合体がプラズマ生成部911に導入され、誘導コイル913が通電され、プラズマ生成部911においてプラズマが生成される。電圧が印加され得る又は接地され得るシャワーヘッドアセンブリは、種の流れを暴露室901へと導く。上述したように、ウェハ903は温度制御されてもよく、及び/又は、RFバイアスが印加されてもよい。プラズマ源911及び誘導コイル913については、様々な構成及び形状のものを使用することができる。例えば、誘導コイル913は、プラズマ源911のまわりを格子状に巻回してもよい。その他の例として、プラズマ源911の形状は筒状ではなくドーム状であってもよい。
本開示におけるその他の態様として、本明細書に記載する方法を実施するよう構成された装置が挙げられる。好適な装置には、プロセスの処理を行うためのハードウェア、及び、本発明によるプロセスの処理を制御するための命令を有するシステムコントローラが含まれる。機械可読媒体は、コントローラに接続され、それらの処理のプロセス条件を制御するための命令を有していてもよい。通常、システムコントローラは、命令を実行するよう構成された1つ又は複数のメモリデバイス及び1つ又は複数のプロセッサを有し、それにより装置が本発明の実施形態による方法を実施する。プロセスの処理を制御するための命令を有する機械可読媒体は、システムコントローラに連結されていてもよい。例えば図9及び図10において、コントローラ950は処理チャンバの構成部品に接続され、剥離処理におけるプロセスガス組成、圧力、温度、ウェハインデックスを制御してもよい。
プロセスの処理を制御するための命令は、ハードコード化されていても、又はソフトウェアであってもよい。ある実施形態では、システムコントローラは、任意の形態のロジックを含み得るプログラムを有する。例えば、ハードウェアとして実施される特定のアルゴリズムを有するデジタル信号プロセッサや類似のプロセッサにハードコード化されたロジックを含んでいてもよい。また、汎用コンピュータにおいて実施され得るソフトウェア又はファームウェアの命令を有していてもよい。
好適なプラズマチャンバ及びシステムには、カリフォルニア州サンノゼ市のNovellus Systems社から提供されるGamma2100、2130 I2CP(インターレース式誘導結合プラズマ)、G400、及びGxTがある。他のシステムには、メリーランド州ロックヴィル市のAxcelis Technologies社からのFusionライン、韓国のPSK Tech社からのTERA21、及びカリフォルニア州フレモント市のMattson Technology社からのAspenがある。更に、様々な剥離チャンバをクラスタツールに取り付けてもよい。例えば、剥離チャンバは、カリフォルニア州サンタクララ市のApplied Materials社から入手可能であるCenturaクラスタツールに追加されてもよい。
図10はステーション1、2、3、4、5及び6を有するマルチステーション装置の上面を簡略化して示す模式図である。ウェハはチャンバ1001を介してステーション1に挿入され、順に各ステーションに移送されて当該ステーションでの処理を施され、プロセスが完了すると、チャンバ1002を介してステーション6から排出される。
上記に記載した装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽電池パネル等の製造又は製作のためのリソグラフィパターニングのツールやプロセスと共に使用してもよい。必ずしも必要ではないものの、そのようなツール/プロセスは、通常、一般の製造設備において使用され、又はそれと共に実施される。膜のリソグラフィパターニングは、通常、以下の工程のうちいくつか、又はその全てから成り、各工程はいくつかの考えられるツールにより実現される。(1)スピンオン式又はスプレー式ツールを使用した、フォトレジストの被加工物、すなわち基板への塗布、(2)加熱板又は加熱炉又はUV硬化ツールを使用した、フォトレジストの硬化、(3)ウェハステッパ等のツールを使用した、フォトレジストの可視光、紫外線、X線等への露光、(4)レジストを選択的に除去し、パターニングするための、ウェットベンチ等のツールを使用した、レジストの現像;(5)ドライエッチング又はプラズマエッチングのツールを使用した、レジストパターンのその下の膜又は被加工物への転位、及び(6)RF又はマイクロ波プラズマレジスト剥離剤等のツールを使用した、レジストの除去。
いくつかの好適な実施形態により本発明の説明を行ったが、本発明は、上記に記載した特定の事項に限定されるものではない。上記に記載した好適な実施形態について、多くの変更例が可能である。

Claims (9)

  1. 露出されたシリコン表面およびイオン注入されたフォトレジストをその表面に有する基板を反応チャンバに供給し、前記イオン注入されたフォトレジストはバルクフォトレジストおよび前記バルクフォトレジスト上に炭化された外層を有し、
    窒素(N2)及び水素(H2)を含み、約2%から16%の間の水素の体積流量百分率を有するプロセスガスにより生成されるプラズマに時間tの間、前記基板を暴露することにより、前記基板から前記バルクフォトレジストを含む前記フォトレジストを除去すること、前記反応チャンバの圧力は600mTorr〜2Torrの間であること、水素体積流量[H]及び時間tのクロス乗積(水素体積流量[H]×t)は約50から2000sccm・秒の間であること、前記除去中の基板温度は約200℃から250℃の間であること、
    備える、方法。
  2. 前記プロセスガスは実質的に酸素含有化合物を含まない、請求項1に記載の方法。
  3. 前記水素体積流量百分率は約2%から10%の間である、請求項1または請求項2に記載の方法。
  4. 前記水素体積流量百分率は約8%未満である、請求項1または請求項2に記載の方法。
  5. 前記水素体積流量百分率は約4%である、請求項1または請求項2に記載の方法。
  6. 前記プロセスガスはH2/N2、H2/N2/Ar、及びH2/N2/Heから構成される群から選択される、請求項1に記載の方法。
  7. 水素体積流量[H]×tは約50から500sccm・秒の間である、請求項1に記載の方法。
  8. 前記基板に前記フォトレジストを適用し、
    前記フォトレジストを露光させ、
    前記レジストをパターニングし、パターンを前記基板に転写すること、
    を更に備える、請求項1に記載の方法。
  9. プラズマ源と、
    前記プラズマ源内にガス混合体を導入するガス注入口と、
    前記ガス注入口の下流側に位置されているシャワーヘッドと、
    前記シャワーヘッドの下流側に位置されている基板支持体であって、台座と、前記基板支持体上に支持される、露出されたシリコン表面およびイオン注入されたフォトレジストであって、バルクフォトレジストとおよび前記バルクフォトレジスト上に炭化された外層を有するフォトレジストをその表面に有する基板の温度を制御する温度制御機構とを備える基板支持体と、
    命令セットを実行するためのコントローラと、を備え、前記命令セットは、窒素及び水素を含み、約2%から16%の間の水素体積流量百分率を有するガス混合体により生成されるプラズマに時間tの間、前記基板を暴露して前記基板から前記バルクフォトレジストを含む前記フォトレジストを除去するために前記ガス混合体を前記ガス注入口に導入するための命令、および前記反応チャンバの圧力を600mTorr〜2Torrの間に設定するための命令、前記除去中の基板温度を約200℃から250℃の間に設定するための命令、を備え、水素体積流量[H]及び時間tのクロス乗積(水素体積流量[H]×t)は約50から2000sccm・秒の間である、
    装置。
JP2012186425A 2011-08-26 2012-08-27 改良されたデバイスインテグリティのためのフォトレジスト剥離プロセス Active JP6598420B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161528029P 2011-08-26 2011-08-26
US61/528,029 2011-08-26
US13/590,083 2012-08-20
US13/590,083 US9613825B2 (en) 2011-08-26 2012-08-20 Photoresist strip processes for improved device integrity

Publications (2)

Publication Number Publication Date
JP2013051416A JP2013051416A (ja) 2013-03-14
JP6598420B2 true JP6598420B2 (ja) 2019-10-30

Family

ID=47215348

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012186425A Active JP6598420B2 (ja) 2011-08-26 2012-08-27 改良されたデバイスインテグリティのためのフォトレジスト剥離プロセス

Country Status (7)

Country Link
US (1) US9613825B2 (ja)
EP (1) EP2562796A3 (ja)
JP (1) JP6598420B2 (ja)
KR (1) KR102013959B1 (ja)
CN (1) CN102955381B (ja)
SG (1) SG188065A1 (ja)
TW (1) TWI598704B (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) * 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
JP2014146748A (ja) * 2013-01-30 2014-08-14 Toshiba Corp 半導体装置及びその製造方法並びに半導体基板
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid
US9543157B2 (en) * 2014-09-30 2017-01-10 Infineon Technologies Ag Method for processing a carrier, a method for operating a plasma processing chamber, and a method for processing a semiconductor wafer
EP3218923A4 (en) * 2014-11-12 2018-07-25 Ontos Equipment Systems Simultaneous hydrophilization of photoresist surface and metal surface preparation: methods, systems, and products
KR102148833B1 (ko) * 2016-02-26 2020-08-28 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 주입형 포토레지스트 스트리핑 공정
FR3086101B1 (fr) * 2018-09-17 2022-07-08 Ion Beam Services Dispositif d'amelioration de la mobilite des porteurs dans un canal de mosfet sur carbure de silicium
US11527412B2 (en) * 2020-08-09 2022-12-13 Applied Materials, Inc. Method for increasing photoresist etch selectivity to enable high energy hot implant in SiC devices
CN113690178B (zh) * 2021-08-23 2024-06-11 长江先进存储产业创新中心有限责任公司 金属导电结构的制造方法

Family Cites Families (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201579A (en) 1978-06-05 1980-05-06 Motorola, Inc. Method for removing photoresist by hydrogen plasma
US4357203A (en) 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH0777211B2 (ja) 1987-08-19 1995-08-16 富士通株式会社 アッシング方法
JPH0770524B2 (ja) 1987-08-19 1995-07-31 富士通株式会社 半導体装置の製造方法
JPH01200628A (ja) 1988-02-05 1989-08-11 Toshiba Corp ドライエッチング方法
US4961820A (en) 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
US5354386A (en) 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
US5122225A (en) 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
JPH05275326A (ja) 1992-03-30 1993-10-22 Sumitomo Metal Ind Ltd レジストのアッシング方法
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
JPH06208972A (ja) 1993-01-12 1994-07-26 Matsushita Electric Ind Co Ltd プラズマ処理方法
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
EP0664347A3 (en) 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5900351A (en) 1995-01-17 1999-05-04 International Business Machines Corporation Method for stripping photoresist
JP2956524B2 (ja) 1995-04-24 1999-10-04 日本電気株式会社 エッチング方法
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
US5817406A (en) 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
JPH0936099A (ja) 1995-07-19 1997-02-07 Toshiba Corp ドライエッチング方法
JP3585591B2 (ja) 1995-07-29 2004-11-04 株式会社半導体エネルギー研究所 エッチング装置及びエッチング方法
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US5707485A (en) 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
JPH09205130A (ja) 1996-01-17 1997-08-05 Applied Materials Inc ウェハ支持装置
US6013574A (en) 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US5651860A (en) 1996-03-06 1997-07-29 Micron Technology, Inc. Ion-implanted resist removal method
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5814155A (en) 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US6127262A (en) 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6129091A (en) 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
US6562544B1 (en) 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5911834A (en) 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5830775A (en) 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US5811358A (en) 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
JPH10209118A (ja) 1997-01-28 1998-08-07 Sony Corp アッシング方法
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH1187307A (ja) 1997-09-05 1999-03-30 Sony Corp レジストの除去方法及びその除去装置
US5908672A (en) 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6379576B2 (en) 1997-11-17 2002-04-30 Mattson Technology, Inc. Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
EP0940846A1 (en) 1998-03-06 1999-09-08 Interuniversitair Micro-Elektronica Centrum Vzw Method for stripping ion implanted photoresist layer
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5980770A (en) 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6086952A (en) 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6342446B1 (en) 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6107184A (en) 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6121091A (en) * 1999-01-19 2000-09-19 Taiwan Semiconductor Manufacturing Company Reduction of a hot carrier effect phenomena via use of transient enhanced diffusion processes
US6417080B1 (en) 1999-01-28 2002-07-09 Canon Kabushiki Kaisha Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
JP3728165B2 (ja) 1999-01-28 2005-12-21 キヤノン株式会社 イオン注入されたホトレジストの残渣の処理方法及び半導体装置の製造方法
US6130166A (en) 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6242350B1 (en) 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6204192B1 (en) 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
JP3287406B2 (ja) * 1999-06-11 2002-06-04 日本電気株式会社 半導体装置の製造方法
US6709715B1 (en) 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6177347B1 (en) 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6492186B1 (en) 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6767698B2 (en) 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US6365516B1 (en) 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
JP2001308078A (ja) 2000-02-15 2001-11-02 Canon Inc 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム
US20010027023A1 (en) 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
US6184134B1 (en) 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6667244B1 (en) 2000-03-24 2003-12-23 Gerald M. Cox Method for etching sidewall polymer and other residues from the surface of semiconductor devices
US6409932B2 (en) * 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
JP4470274B2 (ja) 2000-04-26 2010-06-02 東京エレクトロン株式会社 熱処理装置
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6426304B1 (en) 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
DE10051380C2 (de) 2000-10-17 2002-11-28 Advanced Micro Devices Inc Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
US6569257B1 (en) 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US20020127853A1 (en) 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6319842B1 (en) 2001-01-02 2001-11-20 Novellus Systems Incorporated Method of cleansing vias in semiconductor wafer having metal conductive layer
US6589879B2 (en) 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
JP4133333B2 (ja) 2001-02-15 2008-08-13 東京エレクトロン株式会社 被処理体の処理方法及びその処理装置
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6723654B2 (en) 2001-03-30 2004-04-20 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
US6951823B2 (en) 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6875702B2 (en) 2001-06-11 2005-04-05 Lsi Logic Corporation Plasma treatment system
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US20030036284A1 (en) 2001-08-16 2003-02-20 Yu-Ren Chou Method for removing the photoresist layer of ion-implanting process
US6872652B2 (en) 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4838464B2 (ja) 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
JP5038567B2 (ja) 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US6680164B2 (en) 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP4326746B2 (ja) 2002-01-07 2009-09-09 東京エレクトロン株式会社 プラズマ処理方法
US6720132B2 (en) 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6848455B1 (en) 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6656832B1 (en) 2002-07-25 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd Plasma treatment method for fabricating microelectronic fabrication having formed therein conductor layer with enhanced electrical properties
AU2003257636A1 (en) 2002-08-22 2004-03-11 Daikin Industries, Ltd. Removing solution
US6900135B2 (en) 2002-08-27 2005-05-31 Applied Materials, Inc. Buffer station for wafer backside cleaning and inspection
US6777173B2 (en) 2002-08-30 2004-08-17 Lam Research Corporation H2O vapor as a processing gas for crust, resist, and residue removal for post ion implant resist strip
US6693043B1 (en) 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
KR100476136B1 (ko) 2002-12-02 2005-03-10 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면처리장치
US6780782B1 (en) 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
KR20060038925A (ko) 2003-05-07 2006-05-04 액셀리스 테크놀러지스, 인크. 광역온도범위의 척 시스템
US20040237997A1 (en) 2003-05-27 2004-12-02 Applied Materials, Inc. ; Method for removal of residue from a substrate
KR100542031B1 (ko) 2003-05-30 2006-01-11 피에스케이 주식회사 반도체 제조공정에서의 포토레지스트 제거방법
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7799685B2 (en) * 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US6924239B2 (en) 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
US20050106888A1 (en) 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
US7361605B2 (en) 2004-01-20 2008-04-22 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US20050158667A1 (en) 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP2005268312A (ja) 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
WO2005104203A1 (ja) 2004-03-31 2005-11-03 Fujitsu Limited 基板処理装置および半導体装置の製造方法
US7628864B2 (en) 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7632756B2 (en) 2004-08-26 2009-12-15 Applied Materials, Inc. Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
JP2006073612A (ja) 2004-08-31 2006-03-16 Rohm Co Ltd レジスト除去方法
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060051965A1 (en) 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7169623B2 (en) * 2004-09-09 2007-01-30 Tegal Corporation System and method for processing a wafer including stop-on-aluminum processing
US20060102197A1 (en) 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
KR100607777B1 (ko) 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
DE102004063036A1 (de) 2004-12-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden von Kontaktflecken
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7344993B2 (en) 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US7268071B2 (en) 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
US7432172B2 (en) 2005-01-21 2008-10-07 Tokyo Electron Limited Plasma etching method
JP2006203035A (ja) 2005-01-21 2006-08-03 Tokyo Electron Ltd プラズマエッチング方法
JP2006221772A (ja) 2005-02-14 2006-08-24 Fuji Photo Film Co Ltd ディスク状情報媒体の製造方法
US7198677B2 (en) 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP2006351594A (ja) 2005-06-13 2006-12-28 Toshiba Ceramics Co Ltd 半導体ウェーハの電気特性の測定方法
JP2007019367A (ja) 2005-07-11 2007-01-25 Ricoh Co Ltd 半導体装置の製造方法
JP5011852B2 (ja) 2005-07-20 2012-08-29 富士通セミコンダクター株式会社 電子デバイスの製造方法
US7411298B2 (en) 2005-08-17 2008-08-12 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices
US7468326B2 (en) 2005-08-24 2008-12-23 United Microelectronics Corp. Method of cleaning a wafer
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
JP2007109744A (ja) 2005-10-11 2007-04-26 Tokuyama Corp 基板洗浄液
KR100742279B1 (ko) 2005-12-22 2007-07-24 삼성전자주식회사 반도체 소자의 제조 장치 및 방법
KR20070069802A (ko) 2005-12-28 2007-07-03 엘지.필립스 엘시디 주식회사 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7851369B2 (en) 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
US8124516B2 (en) 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7595005B2 (en) 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
DE102006062035B4 (de) 2006-12-29 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement
US8083963B2 (en) 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5332052B2 (ja) 2007-06-01 2013-11-06 シャープ株式会社 レジスト除去方法、半導体製造方法、及びレジスト除去装置
KR101440282B1 (ko) 2007-07-11 2014-09-17 주성엔지니어링(주) 플라즈마 세정 방법
US20090061623A1 (en) 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
JP2009094115A (ja) * 2007-10-04 2009-04-30 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
JP5102653B2 (ja) 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US20090277871A1 (en) 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US20090277472A1 (en) * 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
US8791001B2 (en) 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
KR101791685B1 (ko) * 2008-10-14 2017-11-20 노벨러스 시스템즈, 인코포레이티드 수소 이용 화학 반응으로 고용량 주입 스트립(hdis) 방법 및 장치
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20120024314A1 (en) 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
US20100130017A1 (en) 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
WO2011008436A2 (en) 2009-07-13 2011-01-20 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
TWI634642B (zh) * 2009-08-07 2018-09-01 半導體能源研究所股份有限公司 半導體裝置和其製造方法
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US8415212B2 (en) * 2010-03-11 2013-04-09 Freescale Semiconductor, Inc. Method of enhancing photoresist adhesion to rare earth oxides
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP7070524B2 (ja) * 2019-10-02 2022-05-18 株式会社三洋物産 遊技機

Also Published As

Publication number Publication date
US20130048014A1 (en) 2013-02-28
TW201331725A (zh) 2013-08-01
CN102955381B (zh) 2019-07-09
EP2562796A2 (en) 2013-02-27
JP2013051416A (ja) 2013-03-14
TWI598704B (zh) 2017-09-11
SG188065A1 (en) 2013-03-28
KR20130023167A (ko) 2013-03-07
CN102955381A (zh) 2013-03-06
EP2562796A3 (en) 2013-09-18
US9613825B2 (en) 2017-04-04
KR102013959B1 (ko) 2019-08-23

Similar Documents

Publication Publication Date Title
JP6598420B2 (ja) 改良されたデバイスインテグリティのためのフォトレジスト剥離プロセス
US9941108B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
JP5888652B2 (ja) 方法、装置および製造方法
JP5770740B2 (ja) 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
US9514954B2 (en) Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP5586077B2 (ja) 水素ベースの化学反応による高用量注入後の剥離(hdis)
KR20140004579A (ko) 높은 선택도에 의한 폴리실리콘 및 자연 산화물의 제거

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150819

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160912

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160920

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170307

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170526

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170905

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20180109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20180510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190712

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191001

R150 Certificate of patent or registration of utility model

Ref document number: 6598420

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250