CN102955381A - 用于改善的器件集成的光刻胶剥离方法 - Google Patents
用于改善的器件集成的光刻胶剥离方法 Download PDFInfo
- Publication number
- CN102955381A CN102955381A CN2012103088212A CN201210308821A CN102955381A CN 102955381 A CN102955381 A CN 102955381A CN 2012103088212 A CN2012103088212 A CN 2012103088212A CN 201210308821 A CN201210308821 A CN 201210308821A CN 102955381 A CN102955381 A CN 102955381A
- Authority
- CN
- China
- Prior art keywords
- hydrogen
- substrate
- photoresist
- plasma
- density
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 229920002120 photoresistant polymer Polymers 0.000 title claims abstract description 103
- 238000000034 method Methods 0.000 title claims abstract description 93
- 230000008569 process Effects 0.000 title abstract description 40
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 99
- 239000001257 hydrogen Substances 0.000 claims abstract description 80
- 239000000758 substrate Substances 0.000 claims abstract description 70
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 64
- 150000002431 hydrogen Chemical class 0.000 claims abstract description 16
- 238000012545 processing Methods 0.000 claims description 66
- 239000007789 gas Substances 0.000 claims description 40
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 26
- 238000002513 implantation Methods 0.000 claims description 21
- 239000007921 spray Substances 0.000 claims description 21
- 229910052757 nitrogen Inorganic materials 0.000 claims description 11
- 238000006243 chemical reaction Methods 0.000 claims description 9
- 229910052786 argon Inorganic materials 0.000 claims description 7
- 239000008246 gaseous mixture Substances 0.000 claims description 7
- 230000005251 gamma ray Effects 0.000 claims description 6
- 239000007800 oxidant agent Substances 0.000 claims description 6
- 230000001590 oxidative effect Effects 0.000 claims description 5
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 2
- 238000009423 ventilation Methods 0.000 claims description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 abstract description 20
- 229910052710 silicon Inorganic materials 0.000 abstract description 20
- 239000010703 silicon Substances 0.000 abstract description 20
- 239000000463 material Substances 0.000 abstract description 18
- 239000007943 implant Substances 0.000 abstract description 12
- 238000013022 venting Methods 0.000 abstract description 3
- 210000002381 plasma Anatomy 0.000 description 62
- 235000012431 wafers Nutrition 0.000 description 37
- 239000000203 mixture Substances 0.000 description 28
- 239000000126 substance Substances 0.000 description 26
- 150000002500 ions Chemical class 0.000 description 24
- 238000004519 manufacturing process Methods 0.000 description 16
- 229910052799 carbon Inorganic materials 0.000 description 14
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 13
- 239000004065 semiconductor Substances 0.000 description 13
- 241000894007 species Species 0.000 description 12
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 11
- 239000001301 oxygen Substances 0.000 description 11
- 229910052760 oxygen Inorganic materials 0.000 description 11
- 238000000137 annealing Methods 0.000 description 8
- -1 boron ion Chemical class 0.000 description 8
- 229910052731 fluorine Inorganic materials 0.000 description 8
- 239000011737 fluorine Substances 0.000 description 8
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 7
- 238000010586 diagram Methods 0.000 description 7
- 239000011261 inert gas Substances 0.000 description 7
- 150000001875 compounds Chemical group 0.000 description 6
- 239000013078 crystal Substances 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- 230000001939 inductive effect Effects 0.000 description 5
- XTQHKBHJIVJGKJ-UHFFFAOYSA-N sulfur monoxide Chemical compound S=O XTQHKBHJIVJGKJ-UHFFFAOYSA-N 0.000 description 5
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 4
- 238000001157 Fourier transform infrared spectrum Methods 0.000 description 4
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 4
- 238000000576 coating method Methods 0.000 description 4
- 238000001514 detection method Methods 0.000 description 4
- 238000010790 dilution Methods 0.000 description 4
- 239000012895 dilution Substances 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 239000000047 product Substances 0.000 description 4
- 230000005855 radiation Effects 0.000 description 4
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N argon Substances [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 229910002091 carbon monoxide Inorganic materials 0.000 description 3
- 230000007547 defect Effects 0.000 description 3
- 230000002950 deficient Effects 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 239000004411 aluminium Substances 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 239000001273 butane Substances 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 229910002092 carbon dioxide Inorganic materials 0.000 description 2
- 239000001569 carbon dioxide Substances 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 2
- 238000004880 explosion Methods 0.000 description 2
- 230000004907 flux Effects 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical class 0.000 description 2
- 230000008676 import Effects 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000010884 ion-beam technique Methods 0.000 description 2
- IJDNQMDRQITEOD-UHFFFAOYSA-N n-butane Chemical compound CCCC IJDNQMDRQITEOD-UHFFFAOYSA-N 0.000 description 2
- OFBQJSOFQDEBGM-UHFFFAOYSA-N n-pentane Natural products CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 230000001105 regulatory effect Effects 0.000 description 2
- 238000001228 spectrum Methods 0.000 description 2
- 238000007669 thermal treatment Methods 0.000 description 2
- JRIGVWDKYXCHMG-UHFFFAOYSA-N (5-arsoroso-2-hydroxyphenyl)azanium;chloride Chemical compound Cl.NC1=CC([As]=O)=CC=C1O JRIGVWDKYXCHMG-UHFFFAOYSA-N 0.000 description 1
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- 241000196324 Embryophyta Species 0.000 description 1
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 1
- 239000004341 Octafluorocyclobutane Substances 0.000 description 1
- 240000001439 Opuntia Species 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 241000183024 Populus tremula Species 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- 229910018540 Si C Inorganic materials 0.000 description 1
- 229910008045 Si-Si Inorganic materials 0.000 description 1
- 229910006411 Si—Si Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- IKWTVSLWAPBBKU-UHFFFAOYSA-N a1010_sial Chemical compound O=[As]O[As]=O IKWTVSLWAPBBKU-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000005273 aeration Methods 0.000 description 1
- 229910001439 antimony ion Inorganic materials 0.000 description 1
- 229910000413 arsenic oxide Inorganic materials 0.000 description 1
- 229960002594 arsenic trioxide Drugs 0.000 description 1
- HAYXDMNJJFVXCI-UHFFFAOYSA-N arsenic(5+) Chemical compound [As+5] HAYXDMNJJFVXCI-UHFFFAOYSA-N 0.000 description 1
- 229910001451 bismuth ion Inorganic materials 0.000 description 1
- 229910052810 boron oxide Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 238000003763 carbonization Methods 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000005266 casting Methods 0.000 description 1
- 239000002800 charge carrier Substances 0.000 description 1
- 235000013351 cheese Nutrition 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000013256 coordination polymer Substances 0.000 description 1
- 229920006037 cross link polymer Polymers 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- JKWMSGQKBLHBQQ-UHFFFAOYSA-N diboron trioxide Chemical compound O=BOB=O JKWMSGQKBLHBQQ-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 239000003085 diluting agent Substances 0.000 description 1
- 208000002173 dizziness Diseases 0.000 description 1
- 201000006549 dyspepsia Diseases 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 230000004927 fusion Effects 0.000 description 1
- CKHJYUSOUQDYEN-UHFFFAOYSA-N gallium(3+) Chemical compound [Ga+3] CKHJYUSOUQDYEN-UHFFFAOYSA-N 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 229910001449 indium ion Inorganic materials 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000003701 inert diluent Substances 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 229950008475 oxophenarsine Drugs 0.000 description 1
- DAFIBNSJXIGBQB-UHFFFAOYSA-N perfluoroisobutene Chemical compound FC(F)=C(C(F)(F)F)C(F)(F)F DAFIBNSJXIGBQB-UHFFFAOYSA-N 0.000 description 1
- 229960004065 perflutren Drugs 0.000 description 1
- 238000001259 photo etching Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 1
- 230000035939 shock Effects 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000007592 spray painting technique Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 229910052716 thallium Inorganic materials 0.000 description 1
- 239000012749 thinning agent Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 239000003039 volatile agent Substances 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/427—Stripping or agents therefor using plasma means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
- H01J37/32724—Temperature
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- General Physics & Mathematics (AREA)
- Analytical Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Formation Of Insulating Films (AREA)
Abstract
本发明提供了减少硅晶片或其它基片的错位的基于氢气的光刻胶剥离操作的方法和装置。根据各个实施例,基于氢气的光刻胶剥离方法可以采用下述技术中的一种或多种:1)通过使用具有最小过剥离持续时间的短时处理而使得氢气预算最小化,2)提供稀释的氢气,例如,2%-16%的氢气浓度,3)通过控制处理条件和化学成分而使得材料损耗最小化,4)使用低温脱胶,5)控制植入条件和浓度,以及6)执行一种或多种剥离后通风处理。还提供了适于执行所述光刻胶剥离方法的装置。
Description
相关申请的交叉引用
本申请要求于2011年8月26日提交的美国临时专利申请61/528,029以及于2012年8月20日提交的美国专利申请13/590,083的优先权,上述申请通过引用合并于此。
技术领域
本发明总体上涉及用于处理半导体基片的方法和装置。
背景技术
光刻胶是一种在一些制造工艺中用于在处理过程中在例如半导体晶片等工件上形成带图案涂层的光敏材料。在将光刻胶涂层的表面暴露于高能辐射图案之后,光刻胶的部分被去除以呈现出下面的表面,使得其余表面受到保护。可以在露出的表面和其余的光刻胶上进行诸如蚀刻、沉积和离子植入等半导体处理。在进行一种或多种半导体处理之后,在剥离操作中去除其余的光刻胶。
发明内容
本文提供了减少硅晶片或其它基片的错位的基于氢气的光刻胶剥离操作的方法和装置。根据各个实施例,基于氢气的光刻胶剥离方法可以采用下列技术中的一种或多种:1)通过使用具有最小过剥离持续时间的短时处理而使得氢气预算最小化,2)提供稀释的氢气,例如,2%-16%的氢气浓度,3)通过控制处理条件和化学成分而使得材料损耗最小化,4)使用低温脱胶,5)控制植入条件和浓度,以及6)执行一种或多种剥离后通风处理。还提供了适于执行光刻胶剥离方法的装置。
方法包括:将其上布置有光刻胶的基片提供给反应腔室;以及将所述基片暴露于由处理气体产生的等离子体以由此从基片上去除光刻胶,所述处理气体包括氮气和氢气并且具有约2%和16%之间的氢气浓度[H]。在一些实施例中,所述处理气体包括分子态氮(N2)和分子态氢(H2)。在一些实施例中,所述处理气体基本不包含含氧化合物。在实施例中,氢气浓度在约2%和10%之间并且可以小于8%。在一些实施例中,氢气浓度约为4%。
处理气体化学成分的示例包括H2/N2、H2/N2/Ar以及H2/N2/He。在一些实施例中,基片在时间t内暴露于等离子体,并且[H]和时间t的叉积([H]×t)在约50和2000sccm秒之间或者大约在约50和500sccm秒之间。在一些实施例中,基片温度在约285°C以下,例如约200°C和250°C之间。
本文所提供的公开内容的另一方案是一种方法,该方法包括:将其上布置有光刻胶的基片提供给反应腔室;将该基片暴露于由处理气体产生的等离子体以由此从该基片上去除光刻胶,所述处理气体包括氢气;以及在将该基片暴露于等离子体之后,在约200°C和450°C之间的基片温度下对该基片进行通风。在一些实施例中,所述方法进一步包括:在对该基片进行通风之后,在至少大约800°C的基片温度下执行高温植入驱动处理。在一些实施例中,处理气体的氢气浓度可以为16%或更大。在一些实施例中,处理气体的氢气浓度[H]小于16%。在一些实施例中,处理气体的氢气浓度[H]小于10%。在一些实施例中,处理气体的氢气浓度[H]小于5%。
本文所公开的主题的另一方案是一种装置,该装置包括:等离子体源;气体入口,其用于将气体混合物导入该等离子体源中;喷淋头,其位于该气体入口的下游;基片支撑件,其位于该喷淋头的下游,所述基片支撑件包括基座和控制被支撑在基片支撑件上的基片的温度的温度控制机构;以及控制器,其用于执行指令集,所述指令集用于执行本文所述的方法。在一些实施例中,指令集包括将气体混合物导入气体入口的指令,该气体混合物包括氮气和氢气并且具有约2%和16%之间的氢气浓度[H]。在一些实施例中,指令集包括将基片的温度保持在大约285°C或更低的指令。
附图说明
图1A-1D描绘了在离子植入和剥离操作之前和之后半导体制造的各个阶段。
图2示出了图示光刻胶剥离处理的一些操作的流程图。
图3是示出了在如下步骤之后原子浓度达到硅晶片的400深度的曲线图:a)暴露于使用16%H2的光刻胶剥离等离子体,b)暴露于使用4%H2的光刻胶剥离等离子体,c)裸控Si晶片,以及d)在900°C下进行30s的快速热处理(RTP)退火。
图4示出了在如下步骤之后硅晶片的FTIR光谱:a)暴露于使用16%H2的光刻胶剥离,b)暴露于使用4%H2的光刻胶剥离,以及c)裸控Si晶片。
图5A和5B示意性地示出了栅极下方的碳掺杂硅。
图6示出了晶态Si中碳含量作为暴露于氢等离子体的函数的分析。
图7示出了图示光刻胶剥离处理的一些操作的流程图。
图8示出了在如下步骤之后的基片的FTIR光谱:a)暴露于使用16%H2的光刻胶剥离等离子体并且在空气中进行12小时的200°C退火,以及b)与控制相比,暴露于使用4%H2的光刻胶剥离等离子体并且在空气中进行12小时的200°C退火。
图9是示出了适于实现本文描述的方法的下游等离子体装置的方案的示意性图示。
图10是示出适于实现本文描述的方法的多站式装置的俯视图的简化示意图。
具体实施方式
在本发明的下面的详述中,为了提供对本发明的全面理解,阐述了多个具体的实施例。然而,对于本领域技术人员来说显而易见的是,可以不使用这些具体的细节来实现本发明,或者可通过使用替代的元件或处理来实现本发明。在其它情形下,未对公知的处理、程序和部件进行详细说明,以免不必要地使本发明的方案难以理解。
在该申请中,术语“工件”、“半导体晶片”、“晶片”和“局部制造集成电路”将可互换地使用。本领域技术人员将理解的是,术语“局部制造集成电路”可指代在集成电路制造的多个阶段中的任意阶段期间的硅晶片。下面的详细描述假设在晶片上实现本发明。然而,本发明不限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片之外,可以利用本发明的其它工件包括诸如显示器、印刷电路板等各种物品。
光刻胶是一种在一些制造工艺中用于在处理过程中在例如半导体晶片等工件上形成带图案涂层的光敏材料。在将光刻胶涂层的表面暴露于高能辐射图案之后,光刻胶的部分被去除以呈现出下面的表面,使得其余表面受到保护。可以在露出的表面和其余的光刻胶上进行诸如蚀刻、沉积和离子植入等半导体处理。在进行一种或多种半导体处理之后,在剥离操作中去除其余的光刻胶。
线前端(FEOL)制造工艺包括p和n MOSFET以及其它晶体管制造工艺、DRAM存储电容器制造工艺和闪存浮置栅极制造工艺,FEOL制造工艺会涉及多种光刻图案形成操作以及多种光刻胶剥离处理。在一些实施例中,光刻胶剥离处理可以为或者可以包括高剂量植入剥离(HDIS)或其它植入剥离处理。
在离子植入期间,例如硼离子、二氟化硼离子、铟离子、镓离子、铊离子、磷离子、砷离子、锑离子、铋离子、碳离子、氙离子、氩离子或锗离子等掺杂剂离子朝向工件目标加速。离子植入到工件的暴露区域中以及其余的光刻胶表面中。处理可以形成井区域(源极/漏极)、轻掺杂漏极(LDD)、晕植入区域和双扩散漏极(DDD)区域以及其它植入区域。离子植入使光刻胶充满植入物种并且耗尽表面的氢气。光刻胶的外层或外壳形成可能比下面的整体(bulk)光刻胶层更致密的碳化层。这两个层具有不同的热膨胀率并且以不同的速率对剥离处理做出反应。
外层和整体层之间的差别在端子(post)高剂量离子植入光刻胶中非常明显。在高剂量植入中,离子剂量可以大于1×1015离子/cm2,并且能量可以从10KeV至大于100KeV。传统的HDIS处理采用氧气化学成分,其中一价氧等离子体远离处理腔室而形成,然后被引导至工件表面处。活性氧与光刻胶结合以形成气态副产物,用真空泵去除气态副产物。对于HDIS,需要额外的气体来去除植入的含有氧的掺杂剂。
主要的植入剥离考虑包括剥离速率、残渣量以及露出的和下面的膜层的膜损耗。在植入和剥离之后,残渣通常可见于基片表面上。残渣是由于在高能植入过程中的喷溅、外壳的非完全去除、和/或光刻胶中植入原子的氧化引起的。在剥离之后,表面应当是没有残渣或者基本没有残渣以确保高产量并且免除对于额外残渣去除处理的需要。残渣可通过过剥离来去除,过剥离即指剥离处理在经过去除所有光刻胶所标定要求的点之后的继续。不幸的是,在常规的植入剥离操作中,过剥离有时去除一些下面的功能器件结构。在器件层,来自晶体管源极/漏极区域的甚至极小的硅损耗可能不利地影响器件性能和产量,对于以<32nm设计规则或更低的设计规则制造的超浅接合器件尤其如此。
在一些实施例中,该方法和装置可用于高效且有效地去除高剂量离子植入之后的光刻胶材料。该方法和装置不限于高剂量植入剥离(HDIS)。该方法和装置也不限于任何特定类型的植入掺杂剂。例如,所述的方法和装置可有效地用于中等或低剂量植入之后的剥离。尽管讨论了诸如硼、砷和磷等具体的掺杂剂离子,所述的方法和装置可有效地用于剥离充满诸如氮气、氧气、碳、锗和铝等其它掺杂剂的光刻胶。此外,该方法和装置不限于光刻胶的植入后去除,而且可用于去除尚未经过植入的光刻胶。
本文所述的方法和装置采用基于氢气的化学成分来去除光刻胶和/或相关的残渣。在一些实施例中,化学成分包括含有任选气体的氢气,任选气体诸如为氮气、氦气、氩气以及基本无氧化剂或者基于氟的化学成分的类似气体。在其它一些实施例中,化学成分可以包括含氧化合物和/或含氟化合物。
本文所述的剥离处理通常涉及到由来自包含各种组成气体的气体产生等离子体。如上所述,本文所述的剥离化学成分基于氢。分子态氢(H2)通常是产生等离子体的气体的主要组分。在一些其他实施例中,用于整体剥离处理的操作中的一种或多种的剥离化学成分是由主要由H2和N2组成的气体生成的。
尽管诸如H2/N2化学成分等基于氢气的剥离化学成分使得光刻胶清洁、快速且有效地去除,但是已经意外地发现一些基于氢气的剥离处理在FEOL制造过程中造成硅基片的错位或其它缺陷。这些缺陷可以包括器件集成度。Si晶体的错位的形成会不利于器件性能。在一些情况下,错位会使得晶格应变的积极效果无效并且使器件减速。在其它情况下,错位会造成产量损失。在另外的情况下,错位可能产生器件可靠性问题,影响长期操作。本文所述的方法和装置在减少或者最小化缺陷的同时提供了有效的光刻胶剥离。
图1A-1D描绘了离子植入和剥离操作之前和之后的半导体制造的各个阶段。尽管图1A-1D提供了可以采用本文所述的方法的制造工艺的示例,但方法不限于此,并且可以通过使用FEOL处理的特定应用来采用任何基于氢气的光刻胶剥离处理。图1A示出了涂有光刻胶材料103的半导体基片101。基片101可以包括一层或多层沉积膜,例如,氧化物膜、硅化物接触件和/或多晶硅膜,或者可以为裸硅基片,包括例如绝缘体上硅型基片。最初,光刻胶材料涂布整个基片表面。然后,将光刻胶暴露于通过掩膜生成的带图案辐射并且显影以去除材料的一部分,例如,图1A所示的位于其余光刻胶材料103之间的开口104。
然后,基片暴露于离子植入处理。在离子植入期间,工件或晶片的表面植入有掺杂剂离子。该处理可以为例如等离子体浸入离子植入(PIII)或者离子束植入。离子轰击包括暴露的硅层101和光刻胶103的基片表面。通过高能离子植入,少量的下层材料107可能被喷溅到光刻胶侧壁。参见图1B。该材料可以包括一些植入物种、等离子体或离子束中的其它材料以及植入的副产物。材料可以包括硅、铝、碳、氟、钛、诸如钴的其它接触材料以及元素和化合物形式的氧。实际的物种取决于离子植入之前基片的组成、光刻胶以及植入的物种。
在暴露的硅层101中,形成掺杂区109。离子能或轰炸的强度决定了掺杂区的深度或厚度。离子通量的密度决定了掺杂的程度。离子还可以充满光刻胶表面以形成外壳层105。外壳层105可以为碳化且高度交联的聚合物链。外壳通常耗尽氢气并且充满植入物种。外壳层105比整体光刻胶层103致密。相对密度取决于离子通量,而外壳层的厚度取决于离子能。
该外壳层105比下面的整体光刻胶103更难以剥离。外壳层的去除速率可比下面的整体光刻胶慢50%或75%。整体光刻胶含有相对高水平的化学键合氮气及其一些原始浇铸溶剂。在例如150°C以上或200°C以上的高晶片温度下,整体光刻胶会除气并且相对于外壳层膨胀。然后,随着下面的整体光刻胶在外壳下方积累压力,整个光刻胶会“爆裂”。光刻胶爆裂是颗粒和处理缺陷的来源,因为残渣尤其难以从晶片表面和腔室内部清除。通过高剂量离子植入,外壳和下面的整体光刻胶层之间的密度差甚至更高。外壳还可以更厚。
图1C示出了在未能完全去除光刻胶103和侧壁喷溅残渣107的剥离之后的基片。侧壁喷溅残渣107可以包括在常规剥离化学成分下不形成挥发性化合物的颗粒。这些颗粒可在常规剥离操作之后保留。残渣还可以包括与常规剥离化学成分中使用的活性氧形成的植入物种的氧化物,诸如氧化硼和氧化砷。外壳105的部分还可以保留在基片上。由于几何尺寸原因,外壳侧壁和光刻胶通孔底部的角部可能难以剥离。还可能存在由于两个相邻曝光区域之间的非曝光留下的沉积薄层(stringers)和长且窄的光刻胶残渣段。这些残渣颗粒可通过过剥离来去除。过剥离是剥离处理经过去除全部光刻胶所标定要求的点的继续。如果在晶片的一些区域而不是其它区域中完全去除光刻胶,则剥离处理的继续将导致从已经剥离的区域去除通常为硅和氧化硅的额外材料。图1D示出了在已经去除全部残渣之后的基片。
本文描述的是能够用于在离子植入或其它图案形成后处理之后剥离光刻胶的含氢等离子体剥离处理。例如,对于端子光晕植入脱胶,可以实现本文所述的方法和装置。该方法和装置减少了会有损器件集成度的Si或其它基片的错位。如上所述,在一些实施例中,含氢的等离子体可以包含或者可以不包含诸如氧和氟物种等其它活性物种。不受特定理论约束,认为错位更易于由不包含氧、氟或能够与氢反应的其它物种的氢基等离子体形成。然而,在一些实施例中,本文所述的方法可有利地与包含氧、氟和其它反应性物种的氢基等离子体以及那些不包含氧、氟和其它反应性物种的氢基等离子体一起使用。
根据各个实施例,基于氢气的脱胶处理采用下列技术中的一种或多种:1)通过使用具有最小过剥离持续时间的短时处理而使得氢气预算最小化,2)提供稀释的氢气,例如,2%-16%的H2,3)通过控制处理条件和化学成分而使得材料损耗最小化,4)使用低温脱胶,5)控制植入条件和浓度,以及6)执行一种或多种剥离后通风处理。下面将对这些技术进行讨论。
图2示出了图示光刻胶剥离处理的一些操作的流程图。处理200起始于块201处,提供其上面有光刻胶的基片。上面参照图1A-1C对具有光刻胶的基片的示例进行了说明。基片可以为例如包括绝缘体上硅基片的硅基片。在一些实施例中,光刻胶可以直接位于硅基片的表面上。在一些实施例中,沉积的膜或材料的一层或多层可以为硅或其它基片与光刻胶之间。在一些实施例中,光刻胶和基片可经过了离子植入处理。处理200在块203处继续整体光刻胶剥离。在一些实施例中,块203之前可进行去除薄的外壳层的一种或多种操作或者可以包括去除薄的外壳层的一种或多种操作。在一些实施例中,块203涉及到基于氢气的剥离化学成分。剥离化学成分的示例包括:H2/N2、H2/N2/Ar、H2/N2/He、H2/N2/其它惰性气体、H2/Ar、H2/He以及H2/其它惰性气体。除了H2之外或者作为H2的另外选择,可以使用其它含氢化合物。示例包括NH2。除了N2、Ar或He之外或者作为N2、Ar或He的另外选择,可以使用其它惰性化合物。在这些示例中,剥离化学成分不包含氧化剂或含卤素化合物。在其它一些实施例中,作为所述的N2和惰性气体的替代或者除了N2和惰性气体之外,剥离化学成分可以包括氧化剂和/或氟或其它含卤素化合物。在一些实施例中,氧化剂可以为相对强的氧化剂,诸如O2,或者为弱氧化剂。弱氧化剂的示例包括诸如二氧化碳(CO2)、一氧化碳(CO)等碳氧化物、诸如氧化亚氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)等氮氧化物以及诸如一氧化硫(SO)和二氧化硫(SO2)等硫氧化物。其它弱氧化物的示例包括任何含氧的烃(CXHYOZ)和水(H2O)。强氧化物的其它示例包括臭氧(O3)和过氧化氢(H2O2)。含氟化合物的示例包括三氟化氮(NF3)、六氟化硫(SF6)、六氟乙烷(C2F6)、四氟甲烷(CF4)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)、八氟丙烷(C3F8)、八氟环丁烷(C4F8)、八氟[1-]丁烷(C4F8)、八氟[2-]丁烷(C4F8)、八氟异丁烯(C4F8)、氟(F2),等等。
处理200在块205处继续,检测整体脱胶端点。块205可涉及到红外检测、光学检测、放射检测或者其它适当的检测方法。处理200在块207处继续进行过剥离操作。在一些实施例中,过剥离化学成分和处理条件与用于块203中整体光刻胶剥离的过剥离化学成分和处理条件相同。在一些其它的实施例中,块205可涉及到使用与块203中所使用的不同的化学成分、组分浓度、或处理条件。示例包括使用比块203中较低的温度或者较低的氢气浓度。
过剥离操作可被测量为整体剥离操作的百分比持续时间。Si晶片或其它基片的至少部分由光刻胶覆盖,直到到达终端为止。在过剥离过程中,基片可尤其易于受到由基于氢气的化学成分引起的错位的影响。过剥离步骤的持续时间是通过实验确定的,并且可以在不同的掩膜水平和植入步骤处不同。在一些实施例中,过剥离持续时间的范围从到终点时间的约0%至200%,例如,0%至50%或者0%至20%。使得过剥离最小化还减少热预算和基片向等离子体的暴露。
在一些实施例中,通过用氮气或另外的惰性气体稀释氢气来减少吸收到Si或其它基片中的氢气。例如,氢气浓度[H]可以在约2%和16%之间,这是以容积流百分比测量出的。图3是示出在如下步骤之后达到硅晶片的400深度的原子浓度(由Secondary Ion Mass Spectrometry(SIMS)测量到的)的曲线图:a)暴露于使用16%H2的光刻胶剥离等离子体,b)暴露于使用4%H2的光刻胶剥离等离子体,c)裸控Si晶片,以及d)在900°C下进行30s的快速热处理(RTP)退火。氮气用作a)和b)的稀释剂。图3验证了剥离之后晶片中H原子的数量与光刻胶剥离等离子体中的[H]相关。图3还验证了在RTP退火之后这些原子被逐出,RTP后曲线未示出任何高于噪声的信号。不受特定理论约束,认为逐出H原子的诸如RTP退火的剥离后处理会导致能够造成错位的Si晶体的冲击波。
尽管具有16%[H]的剥离处理气体产生了在去除沉积薄层和其它残渣时快且有效的清除,但是这样会造成基片中的错位。因此,在一些实施例中,使用具有小于16%[H]的剥离处理气体,例如,在2%和15%或者2%和10%之间,以减少缺陷。在一些实施例中,[H]小于8%或5%。
图4示出了在如下步骤之后硅晶片的FTIR光谱:a)暴露于使用16%H2的光刻胶剥离,b)暴露于使用4%H2的光刻胶剥离,以及c)裸控Si晶片。如图4所示,对于4%和16%的光谱,显示出Si-H键合的增加,但是仅对16%的光谱显示出Si-H2键合的增加。这表明,能够通过剥离化学成分中的[H]来调节Si晶片中的键合。
在一些实施例中,控制剥离处理气体中的氢气以调节系统中氢气的掺入,同时调节键合。这可利用[H]×每层约1和2400sccm秒之间的时间的叉积来实现,以容积流和t来测量[H]。例如,[H]×时间可以在约50和2000sccm秒之间,例如每层在50和1000sccm秒之间,或者每通过剥离装置在约50和500sccm秒之间。注意的是,根据各个实施例,具有在这些范围内的叉积的剥离操作也可以具有或者可以不具有如上所述小于16%的[H]。
在一些实施例中,在100°C和400°C之间的温度执行剥离操作。在一些实施例中,温度在约285°C以下,例如在200°C和285°C之间,或者在约200°C和250°C之间。可以通过温度来影响到Si晶体的氢气吸收、晶体内部的氢气扩散、Si-H和Si-H2键的形成以及错位形成和传播。可以通过温度来影响扭结对形成和运动。低温尤其是低热预算(限定为时间和温度的乘积,单位为s·K)可以具有错位形成和传播的强的动力学效果。不受特定理论约束,认为氢气扩散速率和/或Si-H和Si-H2键的形成速率可能呈现出阿瑞尼阿斯(Arrhenius)反应,与温度指数相关,与时间和浓度线性相关。因此,在一些实施例中,200°C和285°C之间或者约200°C和250°C之间的处理温度会减少有利的一种或多种机制,包括器件集成度。然后,可以通过在基片清除残渣的同时使材料损耗最小化来确定总的热预算。
在一些实施例中,控制植入条件和浓度。图5A示出了在栅极下的碳掺杂硅。由于较短的Si-C键,Si晶体中的碳杂质产生应变。拉伸应力得到了大于平衡的格栅常数和较长的Si-Si键。从器件的角度看,这是有益的,使得电荷载流子的浓度较高且器件更快速。从机械角度看,这是有害的并且会辅助缺陷的形成。在一些实施例中,基于氢气的剥离处理会导致碳耗尽,在晶体中形成空位并且增加应变。这示于图5B中。图6示出了晶质Si中的碳含量作为暴露于氢等离子体的函数的SIMS分析。随着等离子体中的氢气浓度从4%增加到16%,测量到更低水平的碳。对于控制,测量到最高水平的碳,此时不存在等离子体。由于脱胶而植入的C和H之间的交互作用示于图6中。在一些实施例中,通过降低掺杂剂植入浓度而使得由于氢和碳(或者诸如碳、硼、磷、砷等其它掺杂元素)之间的反应而导致的氢对基片应变的效果最小化。例如,+/-15%的碳植入调制结合氢等离子体处理使得应变工艺可以提高性能,而不会导致出现不期望的错位。根据各个实施例,在6至10KeV的能量,C植入剂量范围是5*1014至5*1016。例如,处理可以在8KeV时使用6*1015的剂量。在一些实施例中,对于非基于氢气的剥离计算出的掺杂剂植入剂量可减少15%。
在如上所述的相同或其它实施例中,可以在一种或多种基于氢气的光刻胶剥离处理之后进行通风处理。图7示出了图示出光刻胶剥离处理的一些操作的流程图。处理700开始于块701处,在此处提供其上面有光刻胶的基片。如上所述,在一些实施例中,光刻胶和基片先前已经经过了植入处理。处理700在块703处继续,在此处执行基于氢气的剥离处理。上面讨论的剥离化学成分,其可以主要包括例如用N2或其它惰性稀释剂稀释的H2。注意的是,根据各个实施例,块703可以包括外壳去除操作、整体光刻胶操作和过剥离操作中的一种或多种。在块703期间,氢气混合到基片中。处理700在块705处继续,以相对低的温度进行通风,例如,约200°C和450°C之间的温度。图8示出了在如下步骤之后的FTIR光谱:a)暴露于使用16%H2的光刻胶剥离等离子体并且在空气中进行12小时的200°C退火,以及b)与控制相比,暴露于使用4%H2的光刻胶剥离等离子体并且在空气中进行12小时的200°C退火。图8示出了能够以相对低的温度逐出混合进去的氢气。不受特定理论约束,认为这些相对低的温度能够逐出氢原子,而不会造成在例如RTP处理所使用的较高温度下发生的错位。根据各个实施例,可在小于约450°C、小于400°C、小于约300°C时发生低温通风。
返回图7,在一些实施例中,处理700在块707处继续,进行的是高温植入驱动。高温植入驱动可涉及到例如在800°C或更高温度下的RTP处理。在一些实施例中,在块707之前,块703和705均执行多次,例如,在FEOL处理期间,每当执行光刻胶剥离时均可执行通风操作。在其它一些实施例中,块703对于不同的FEOL器件执行多次(例如,NMOS制造执行一次,PMOS制造执行一次,等等),在块707之前执行单次通风。在其它一些实施例中,可以在块707之前执行多次通风处理。例如,如果块703执行十次,则块705可执行一次至十次。
进气
通常包括分子态氢的含氢气体被导入等离子体源。导入等离子体源的气体包含化学活性物种,化学活性物种将在等离子体源中被离子化或者进行其它处理以形成等离子体。如上所述,在一些实施例中,进气可主要包含分子态氢以及诸如氮气等一种或多种惰性气体。在一些实施例中,进气不包括含氧化合物。在一些实施例中,进气仅包含为弱氧化剂的含氢化合物。本领域技术人员将理解的是,可能存在其它物种的痕量。导入等离子体源的气体可以进行预混合、部分混合或不进行混合。
等离子体产生
依照本发明,可以使用各种类型的等离子体源,包括RF、DC和基于微波的等离子体源。在优选的实施例中,使用下游RF等离子体源。300mm晶片的示例RF等离子体功率的范围在约300瓦至约10千瓦之间。在一些实施例中,RF等离子体功率在约2000瓦和5000瓦之间,例如为3500W。
喷淋头组件
根据各个实施例,等离子体气体经由喷淋头组件被分配到工作表面。喷淋头组件可以接地或者可以有施压以吸引一些电荷物种,同时不影响中性物种流到晶片,例如,0-1000瓦的偏压。等离子体中的许多带电荷物种在喷淋头处再结合。组件包括喷淋头本身,喷淋头可以为具有孔的金属板,孔将等离子体和惰性气体的混合物引导到反应腔室中。喷淋头在较大区域上重新分配来自等离子体源的活性氢,使得可以使用较小的等离子体源。喷淋头孔的数量和布置可设定为使得剥离速率和剥离速度均匀度最优化。如果等离子体源居中位于晶片上方,则为了朝向外部区域推动活性气体,喷淋头孔在喷淋头中央优选地较小且较少。喷淋头可具有至少100个孔。适合的喷淋头包括Novellus Systems,Inc.of San Jose,CA提供的Gamma xPR喷淋头或者GxT插入式喷淋头。在不设有喷淋头组件的实施例中,等离子体直接进入处理腔室。
处理腔室
处理腔室可以为用于所执行的剥离操作的任何适当的反应腔室。处理腔室可以为多腔室装置的一个腔室,或者其可以仅为单腔室装置。腔室还可以包括多个站,在多个站处同时对不同晶片进行处理。处理腔室可以为植入、蚀刻或其它光刻胶调节处理所发生的同一腔室。在其它的实施例中,保留单独的腔室以进行剥离。处理腔室压强的范围可以从约600毫托至2托。在一些实施例中,压强的范围从约0.9托至1.5托。
处理腔室包括一个或多个处理站,在处理站上进行剥离操作。在一些实施例中,一个或多个处理站包括预热站、至少一个剥离站和过灰站。晶片支撑件构造为在处理期间支撑晶片。晶片支撑件还可以在处理期间与晶片进行传热以根据需要来调节晶片温度。在一些实施例中,晶片被支撑在多个最小接触件上,而不与晶片支撑件的表面平面进行物理接触。主轴拾取晶片并且将晶片从一个站转移到另一个站。
图9是示出适于在晶片上实现本发明的下游等离子体装置900的方案的示意性图解。装置900具有由喷淋头组件917分隔开的等离子体生成部911和曝光腔室901。在曝光腔室901的内部,晶片903停靠在台板(或载物台)905上。台板905填充有加热/冷却元件。在一些实施例中,台板905还构造为用于向晶片903施加偏压。借助导管907通过真空泵在曝光腔室901中达到低压。气态氢(含有或不含有稀释气体/载气)和二氧化碳(或其它弱氧化剂)的源经由入口909将气流提供到装置的等离子体生成部911中。等离子体生成部911部分由感应线圈913围绕,感应线圈913依次与电源915连接。在操作过程中,气体混合物被导入到等离子体生成部911中,感应线圈913被供给能量,并且在等离子体生成部911中产生等离子体。喷淋头组件可有施压或接地而将物种流引导到曝光腔室901中。如上所述,晶片903可以进行温度控制,和/或可以施加RF偏压。可以使用等离子体源911和感应线圈913的各种配置和几何形状。例如,感应线圈913可以交错的图案环绕等离子体源911。在另一示例中,等离子体源911的形状可以为圆顶形,而不是柱形。
公开的另一个方案是被构造为实现本文所述的方法的装置。适当的装置包括用于实现处理操作的硬件和具有用于控制依照本发明的处理操作的指令的系统控制器。机器可读介质可与控制器连结并且包含用于控制这些操作的处理条件的指令。系统控制器通常将包括一个或多个存储器装置以及一个或多个处理器,所述处理器配置为执行指令以使装置将执行依照本发明的实施例的方法。包含用于控制处理操作的指令的机器可读介质可与系统控制器连结。在图9和图10中,例如,控制器950可以与处理腔室的部件连接,并且控制剥离操作的处理气体组成、压强、温度和晶片指标。
用于控制处理操作的指令可以进行硬件编码或者可以为软件。在一些实施例中,系统控制器包括程序,程序可以包括任何形式的逻辑。例如,程序可以包括硬件编码到使具体算法实现为硬件的数字信号处理器或类似处理器上的逻辑。程序还可以包括可以在通用型计算机上执行的固件指令的软件。
适合的等离子体腔室和系统包括由Novellus Systems,Inc.of SanJose,CA提供的Gamma 2100,2130 I2CP(交错感应耦合等离子体)G400和GxT。其它系统包括来自Axcelis Technoloties Inc.of Rockville,Maryland的Fusion Line、来自PSK Tech Inc.in Korea的TERA 21以及来自MattsonTechnology Inc.in Fremont,CA的Aspen。另外,各种剥离腔室可构造在群集工具上。例如,剥离腔室可添加到由Applied Materials of Santa Clara,CA提供的Centura群集工具中。
图10是示出包括站1、2、3、4、5和6的多站式装置的俯视图的简化示意图。晶片经由腔室1001在站1处进入装置,依次转移到每个站以便在该站处进行处理操作并且在处理完成之后经由腔室1002从站6退出。
上文所述的装置/处理可与光刻图案形成工具或处理结合使用,例如,用于制造或生产半导体器件、显示器、LED、光伏电池板等。通常,尽管不是必要的,这些工具/处理将在普通制造设施中一起使用或起作用。薄膜的光刻图案形成通常包括下述步骤中的一些或全部,每个步骤由于多种可能的工具而能够进行:(1)使用旋涂或喷涂工具将光刻胶涂覆到工件即基片上;(2)使用热板或炉或UV固化工具使光刻胶固化;(3)用诸如晶片步进器等工具将光刻胶暴露于可见光或UV或x射线光;(4)对光刻胶进行显影以选择性地去除光刻胶并且因此使用诸如湿性光具座等工具使其形成图案;(5)利用干法蚀刻工具或等离子体辅助蚀刻工具将光刻胶图案转印到下层薄膜或工件上;以及(6)使用诸如RF或微波等离子体脱胶器等工具来去除光刻胶。
尽管已经依照几个优选的实施例对本发明进行了说明,但是本发明不应局限于上面给出的具体形式。可以应用在上述优选实施例上的多种变型例。
Claims (21)
1.一种方法,包括:
将其上面布置有光刻胶的基片提供给反应腔室;将所述基片暴露于由处理气体产生的等离子体以由此从所述基片上去除光刻胶,所述处理气体包括氮气和氢气并且具有约2%和16%之间的氢气浓度[H]。
2.如权利要求1所述的方法,其中,所述处理气体包括分子态氮(N2)和分子态氢(H2)。
3.如权利要求1所述的方法,其中,所述处理气体基本不包含含氧化合物。
4.如权利要求1所述的方法,其中,所述氢气浓度在约2%和10%之间。
5.如权利要求1所述的方法,其中,所述氢气浓度小于约8%。
6.如权利要求1所述的方法,其中,所述氢气浓度约为4%。
7.如权利要求1所述的方法,其中,所述处理气体选自由H2/N2、H2/N2/Ar和H2/N2/He组成的组。
8.如权利要求1所述的方法,其中,所述基片在时间t内暴露于所述等离子体,并且[H]和所述时间t的叉积([H]×t)在约50和2000sccm秒之间。
9.如权利要求8所述的方法,其中,[H]×t在约50和500sccm秒之间。
10.如权利要求1所述的方法,其中,所述基片温度在约285°C以下。
11.如权利要求1所述的方法,其中,所述基片温度在约200°C和250°C之间。
12.一种方法,包括:
将其上面布置有光刻胶的基片提供给反应腔室;
将所述基片暴露于由处理气体产生的等离子体以由此从所述基片上去除光刻胶,所述处理气体包括氢气;
在将所述基片暴露于所述等离子体之后,在约200°C和450°C之间的基片温度下使所述基片通风。
13.如权利要求12所述的方法,进一步包括:在使所述基片通风之后,在至少约800°C的基片温度下执行高温植入驱动处理。
14.如权利要求12所述的方法,其中,所述处理气体进一步包括弱氧化剂。
15.如权利要求12所述的方法,其中,所述处理气体的所述氢气浓度[H]为16%或更大。
16.如权利要求12所述的方法,其中,所述处理气体的所述氢气浓度[H]小于16%。
17.如权利要求12所述的方法,其中,所述处理气体的所述氢气浓度[H]小于10%。
18.如权利要求12所述的方法,其中,所述处理气体的所述氢气浓度[H]小于5%。
19.如权利要求1所述的方法,进一步包括:将光刻胶涂覆到所述基片上,将所述光刻胶曝光,使所述光刻胶形成图案,并且将所述图案转印到所述基片上。
20.一种装置,包括:
等离子体源,
气体入口,其用于将气体混合物导入到所述等离子体源中,
喷淋头,其位于所述气体入口的下游,以及
基片支撑件,其位于所述喷淋头的下游,所述基片支撑件包括基座和控制被支撑在所述基片支撑件上的基片的温度的温度控制机构;以及控制器,其用于执行指令集,所述指令集包括将气体混合物导入到所述气体入口中的指令,所述气体混合物包括氮气和氢气并且具有在约2%和16%之间的氢气浓度[H]。
21.如权利要求20所述的装置,其中,所述指令集进一步包括将所述基片的所述温度保持在约285°C或更低的指令。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201161528029P | 2011-08-26 | 2011-08-26 | |
US61/528,029 | 2011-08-26 | ||
US13/590,083 | 2012-08-20 | ||
US13/590,083 US9613825B2 (en) | 2011-08-26 | 2012-08-20 | Photoresist strip processes for improved device integrity |
Publications (2)
Publication Number | Publication Date |
---|---|
CN102955381A true CN102955381A (zh) | 2013-03-06 |
CN102955381B CN102955381B (zh) | 2019-07-09 |
Family
ID=47215348
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201210308821.2A Active CN102955381B (zh) | 2011-08-26 | 2012-08-27 | 用于改善的器件集成的光刻胶剥离方法 |
Country Status (7)
Country | Link |
---|---|
US (1) | US9613825B2 (zh) |
EP (1) | EP2562796A3 (zh) |
JP (1) | JP6598420B2 (zh) |
KR (1) | KR102013959B1 (zh) |
CN (1) | CN102955381B (zh) |
SG (1) | SG188065A1 (zh) |
TW (1) | TWI598704B (zh) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105470110A (zh) * | 2014-09-30 | 2016-04-06 | 英飞凌科技股份有限公司 | 处理载体的方法、操作处理腔的方法和处理晶圆的方法 |
CN105603390A (zh) * | 2014-09-26 | 2016-05-25 | 朗姆研究公司 | 具有主动冷却型格栅的气体分配装置 |
CN107429418A (zh) * | 2014-11-12 | 2017-12-01 | 安托士设备系统公司 | 同时进行的光刻胶表面的亲水改性和金属表面准备:方法、系统和产品 |
CN108701586A (zh) * | 2016-02-26 | 2018-10-23 | 马特森技术有限公司 | 经注入的光致抗蚀剂的剥离处理 |
Families Citing this family (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
US8435895B2 (en) | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
US8591661B2 (en) * | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
CN102652351B (zh) | 2009-12-11 | 2016-10-05 | 诺发系统有限公司 | 在高剂量植入剥除前保护硅的增强式钝化工艺 |
JP2014146748A (ja) * | 2013-01-30 | 2014-08-14 | Toshiba Corp | 半導体装置及びその製造方法並びに半導体基板 |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
FR3086101B1 (fr) * | 2018-09-17 | 2022-07-08 | Ion Beam Services | Dispositif d'amelioration de la mobilite des porteurs dans un canal de mosfet sur carbure de silicium |
US11527412B2 (en) * | 2020-08-09 | 2022-12-13 | Applied Materials, Inc. | Method for increasing photoresist etch selectivity to enable high energy hot implant in SiC devices |
CN113690178A (zh) * | 2021-08-23 | 2021-11-23 | 长江先进存储产业创新中心有限责任公司 | 金属导电结构的制造方法 |
Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0304068A2 (en) * | 1987-08-19 | 1989-02-22 | Fujitsu Limited | Removing resist layers |
JPH10209118A (ja) * | 1997-01-28 | 1998-08-07 | Sony Corp | アッシング方法 |
US6030901A (en) * | 1999-06-24 | 2000-02-29 | Advanced Micro Devices, Inc. | Photoresist stripping without degrading low dielectric constant materials |
EP1077476A2 (en) * | 1999-08-05 | 2001-02-21 | Axcelis Technologies, Inc. | Oxygen free plasma stripping process |
US6465352B1 (en) * | 1999-06-11 | 2002-10-15 | Nec Corporation | Method for removing dry-etching residue in a semiconductor device fabricating process |
JP2003264170A (ja) * | 2002-01-07 | 2003-09-19 | Tokyo Electron Ltd | プラズマ処理方法 |
JP2008300704A (ja) * | 2007-06-01 | 2008-12-11 | Sharp Corp | レジスト除去方法、半導体製造方法、及びレジスト除去装置 |
US20090277472A1 (en) * | 2008-05-06 | 2009-11-12 | Novellus Systems, Inc. | Photoresist Stripping Method and Apparatus |
CN101727024A (zh) * | 2008-10-14 | 2010-06-09 | 诺发系统有限公司 | 基于h2化学过程中的高剂量植入剥离(hdis) |
Family Cites Families (210)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4201579A (en) | 1978-06-05 | 1980-05-06 | Motorola, Inc. | Method for removing photoresist by hydrogen plasma |
US4357203A (en) | 1981-12-30 | 1982-11-02 | Rca Corporation | Plasma etching of polyimide |
US4699689A (en) | 1985-05-17 | 1987-10-13 | Emergent Technologies Corporation | Method and apparatus for dry processing of substrates |
US5158644A (en) | 1986-12-19 | 1992-10-27 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US5292393A (en) | 1986-12-19 | 1994-03-08 | Applied Materials, Inc. | Multichamber integrated process system |
JPH0777211B2 (ja) | 1987-08-19 | 1995-08-16 | 富士通株式会社 | アッシング方法 |
JPH01200628A (ja) | 1988-02-05 | 1989-08-11 | Toshiba Corp | ドライエッチング方法 |
US4961820A (en) | 1988-06-09 | 1990-10-09 | Fujitsu Limited | Ashing method for removing an organic film on a substance of a semiconductor device under fabrication |
US5354386A (en) | 1989-03-24 | 1994-10-11 | National Semiconductor Corporation | Method for plasma etching tapered and stepped vias |
US5122225A (en) | 1990-11-21 | 1992-06-16 | Texas Instruments Incorporated | Selective etch method |
JPH05275326A (ja) | 1992-03-30 | 1993-10-22 | Sumitomo Metal Ind Ltd | レジストのアッシング方法 |
US5716494A (en) | 1992-06-22 | 1998-02-10 | Matsushita Electric Industrial Co., Ltd. | Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate |
JPH06208972A (ja) | 1993-01-12 | 1994-07-26 | Matsushita Electric Ind Co Ltd | プラズマ処理方法 |
US5522932A (en) | 1993-05-14 | 1996-06-04 | Applied Materials, Inc. | Corrosion-resistant apparatus |
EP0664347A3 (en) | 1994-01-25 | 1997-05-14 | Applied Materials Inc | Plant for the deposition of a uniform layer of a material on a substrate. |
US5744049A (en) | 1994-07-18 | 1998-04-28 | Applied Materials, Inc. | Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same |
US5900351A (en) | 1995-01-17 | 1999-05-04 | International Business Machines Corporation | Method for stripping photoresist |
JP2956524B2 (ja) | 1995-04-24 | 1999-10-04 | 日本電気株式会社 | エッチング方法 |
US5633073A (en) | 1995-07-14 | 1997-05-27 | Applied Materials, Inc. | Ceramic susceptor with embedded metal electrode and eutectic connection |
US5817406A (en) | 1995-07-14 | 1998-10-06 | Applied Materials, Inc. | Ceramic susceptor with embedded metal electrode and brazing material connection |
JPH0936099A (ja) | 1995-07-19 | 1997-02-07 | Toshiba Corp | ドライエッチング方法 |
JP3585591B2 (ja) | 1995-07-29 | 2004-11-04 | 株式会社半導体エネルギー研究所 | エッチング装置及びエッチング方法 |
US6194628B1 (en) | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Method and apparatus for cleaning a vacuum line in a CVD system |
US6045618A (en) | 1995-09-25 | 2000-04-04 | Applied Materials, Inc. | Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US6187072B1 (en) | 1995-09-25 | 2001-02-13 | Applied Materials, Inc. | Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions |
US6193802B1 (en) | 1995-09-25 | 2001-02-27 | Applied Materials, Inc. | Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment |
US5792269A (en) | 1995-10-31 | 1998-08-11 | Applied Materials, Inc. | Gas distribution for CVD systems |
US5968324A (en) | 1995-12-05 | 1999-10-19 | Applied Materials, Inc. | Method and apparatus for depositing antireflective coating |
US5707485A (en) | 1995-12-20 | 1998-01-13 | Micron Technology, Inc. | Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch |
JPH09205130A (ja) | 1996-01-17 | 1997-08-05 | Applied Materials Inc | ウェハ支持装置 |
US6013574A (en) | 1996-01-30 | 2000-01-11 | Advanced Micro Devices, Inc. | Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines |
US5651860A (en) | 1996-03-06 | 1997-07-29 | Micron Technology, Inc. | Ion-implanted resist removal method |
US5660682A (en) | 1996-03-14 | 1997-08-26 | Lsi Logic Corporation | Plasma clean with hydrogen gas |
US5814155A (en) | 1996-06-26 | 1998-09-29 | Vlsi Technology, Inc. | Plasma ashing enhancement |
US6127262A (en) | 1996-06-28 | 2000-10-03 | Applied Materials, Inc. | Method and apparatus for depositing an etch stop layer |
US6083852A (en) | 1997-05-07 | 2000-07-04 | Applied Materials, Inc. | Method for applying films using reduced deposition rates |
US6156149A (en) | 1997-05-07 | 2000-12-05 | Applied Materials, Inc. | In situ deposition of a dielectric oxide layer and anti-reflective coating |
US6129091A (en) | 1996-10-04 | 2000-10-10 | Taiwan Semiconductor Manfacturing Company | Method for cleaning silicon wafers with deep trenches |
US6562544B1 (en) | 1996-11-04 | 2003-05-13 | Applied Materials, Inc. | Method and apparatus for improving accuracy in photolithographic processing of substrates |
US5844195A (en) | 1996-11-18 | 1998-12-01 | Applied Materials, Inc. | Remote plasma source |
US5911834A (en) | 1996-11-18 | 1999-06-15 | Applied Materials, Inc. | Gas delivery system |
US5830775A (en) | 1996-11-26 | 1998-11-03 | Sharp Microelectronics Technology, Inc. | Raised silicided source/drain electrode formation with reduced substrate silicon consumption |
US5811358A (en) | 1997-01-03 | 1998-09-22 | Mosel Vitelic Inc. | Low temperature dry process for stripping photoresist after high dose ion implantation |
US6039834A (en) | 1997-03-05 | 2000-03-21 | Applied Materials, Inc. | Apparatus and methods for upgraded substrate processing system with microwave plasma source |
US6077764A (en) | 1997-04-21 | 2000-06-20 | Applied Materials, Inc. | Process for depositing high deposition rate halogen-doped silicon oxide layer |
US6306564B1 (en) | 1997-05-27 | 2001-10-23 | Tokyo Electron Limited | Removal of resist or residue from semiconductors using supercritical carbon dioxide |
US6177023B1 (en) | 1997-07-11 | 2001-01-23 | Applied Komatsu Technology, Inc. | Method and apparatus for electrostatically maintaining substrate flatness |
JP3317209B2 (ja) | 1997-08-12 | 2002-08-26 | 東京エレクトロンエイ・ティー株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JPH1187307A (ja) | 1997-09-05 | 1999-03-30 | Sony Corp | レジストの除去方法及びその除去装置 |
US5908672A (en) | 1997-10-15 | 1999-06-01 | Applied Materials, Inc. | Method and apparatus for depositing a planarized passivation layer |
US6797188B1 (en) | 1997-11-12 | 2004-09-28 | Meihua Shen | Self-cleaning process for etching silicon-containing material |
US6379576B2 (en) | 1997-11-17 | 2002-04-30 | Mattson Technology, Inc. | Systems and methods for variable mode plasma enhanced processing of semiconductor wafers |
US6098568A (en) | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6593247B1 (en) | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
US6660656B2 (en) | 1998-02-11 | 2003-12-09 | Applied Materials Inc. | Plasma processes for depositing low dielectric constant films |
US6340435B1 (en) | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
US6287990B1 (en) | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
US6413583B1 (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
EP0940846A1 (en) | 1998-03-06 | 1999-09-08 | Interuniversitair Micro-Elektronica Centrum Vzw | Method for stripping ion implanted photoresist layer |
US6203657B1 (en) | 1998-03-31 | 2001-03-20 | Lam Research Corporation | Inductively coupled plasma downstream strip module |
US5980770A (en) | 1998-04-16 | 1999-11-09 | Siemens Aktiengesellschaft | Removal of post-RIE polymer on Al/Cu metal line |
US6432830B1 (en) | 1998-05-15 | 2002-08-13 | Applied Materials, Inc. | Semiconductor fabrication process |
US6086952A (en) | 1998-06-15 | 2000-07-11 | Applied Materials, Inc. | Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer |
US6800571B2 (en) | 1998-09-29 | 2004-10-05 | Applied Materials Inc. | CVD plasma assisted low dielectric constant films |
US6277733B1 (en) | 1998-10-05 | 2001-08-21 | Texas Instruments Incorporated | Oxygen-free, dry plasma process for polymer removal |
US6342446B1 (en) | 1998-10-06 | 2002-01-29 | Texas Instruments Incorporated | Plasma process for organic residue removal from copper |
US6171945B1 (en) | 1998-10-22 | 2001-01-09 | Applied Materials, Inc. | CVD nanoporous silica low dielectric constant films |
US6245690B1 (en) | 1998-11-04 | 2001-06-12 | Applied Materials, Inc. | Method of improving moisture resistance of low dielectric constant films |
US6107184A (en) | 1998-12-09 | 2000-08-22 | Applied Materials, Inc. | Nano-porous copolymer films having low dielectric constants |
US6121091A (en) * | 1999-01-19 | 2000-09-19 | Taiwan Semiconductor Manufacturing Company | Reduction of a hot carrier effect phenomena via use of transient enhanced diffusion processes |
JP3728165B2 (ja) | 1999-01-28 | 2005-12-21 | キヤノン株式会社 | イオン注入されたホトレジストの残渣の処理方法及び半導体装置の製造方法 |
US6417080B1 (en) | 1999-01-28 | 2002-07-09 | Canon Kabushiki Kaisha | Method of processing residue of ion implanted photoresist, and method of producing semiconductor device |
US6130166A (en) | 1999-02-01 | 2000-10-10 | Vlsi Technology, Inc. | Alternative plasma chemistry for enhanced photoresist removal |
US6242350B1 (en) | 1999-03-18 | 2001-06-05 | Taiwan Semiconductor Manufacturing Company | Post gate etch cleaning process for self-aligned gate mosfets |
US6204192B1 (en) | 1999-03-29 | 2001-03-20 | Lsi Logic Corporation | Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures |
US6709715B1 (en) | 1999-06-17 | 2004-03-23 | Applied Materials Inc. | Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds |
US6177347B1 (en) | 1999-07-02 | 2001-01-23 | Taiwan Semiconductor Manufacturing Company | In-situ cleaning process for Cu metallization |
US6492186B1 (en) | 1999-08-05 | 2002-12-10 | Eaton Corporation | Method for detecting an endpoint for an oxygen free plasma process |
US6313042B1 (en) | 1999-09-03 | 2001-11-06 | Applied Materials, Inc. | Cleaning contact with successive fluorine and hydrogen plasmas |
US6767698B2 (en) | 1999-09-29 | 2004-07-27 | Tokyo Electron Limited | High speed stripping for damaged photoresist |
US6287643B1 (en) | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
US20050022839A1 (en) * | 1999-10-20 | 2005-02-03 | Savas Stephen E. | Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing |
JP4221847B2 (ja) | 1999-10-25 | 2009-02-12 | パナソニック電工株式会社 | プラズマ処理装置及びプラズマ点灯方法 |
JP3366301B2 (ja) | 1999-11-10 | 2003-01-14 | 日本電気株式会社 | プラズマcvd装置 |
US6352938B2 (en) | 1999-12-09 | 2002-03-05 | United Microelectronics Corp. | Method of removing photoresist and reducing native oxide in dual damascene copper process |
US6365516B1 (en) | 2000-01-14 | 2002-04-02 | Advanced Micro Devices, Inc. | Advanced cobalt silicidation with in-situ hydrogen plasma clean |
JP2001308078A (ja) | 2000-02-15 | 2001-11-02 | Canon Inc | 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム |
US20010027023A1 (en) | 2000-02-15 | 2001-10-04 | Shigenori Ishihara | Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses |
US6184134B1 (en) | 2000-02-18 | 2001-02-06 | Infineon Technologies North America Corp. | Dry process for cleaning residues/polymers after metal etch |
US6667244B1 (en) | 2000-03-24 | 2003-12-23 | Gerald M. Cox | Method for etching sidewall polymer and other residues from the surface of semiconductor devices |
US6409932B2 (en) * | 2000-04-03 | 2002-06-25 | Matrix Integrated Systems, Inc. | Method and apparatus for increased workpiece throughput |
JP4470274B2 (ja) | 2000-04-26 | 2010-06-02 | 東京エレクトロン株式会社 | 熱処理装置 |
JP4371543B2 (ja) | 2000-06-29 | 2009-11-25 | 日本電気株式会社 | リモートプラズマcvd装置及び膜形成方法 |
US6426304B1 (en) | 2000-06-30 | 2002-07-30 | Lam Research Corporation | Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications |
US20020185226A1 (en) | 2000-08-10 | 2002-12-12 | Lea Leslie Michael | Plasma processing apparatus |
DE10051380C2 (de) | 2000-10-17 | 2002-11-28 | Advanced Micro Devices Inc | Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals |
US6569257B1 (en) | 2000-11-09 | 2003-05-27 | Applied Materials Inc. | Method for cleaning a process chamber |
US6692903B2 (en) | 2000-12-13 | 2004-02-17 | Applied Materials, Inc | Substrate cleaning apparatus and method |
US6733594B2 (en) | 2000-12-21 | 2004-05-11 | Lam Research Corporation | Method and apparatus for reducing He backside faults during wafer processing |
US6524936B2 (en) | 2000-12-22 | 2003-02-25 | Axcelis Technologies, Inc. | Process for removal of photoresist after post ion implantation |
US6479391B2 (en) | 2000-12-22 | 2002-11-12 | Intel Corporation | Method for making a dual damascene interconnect using a multilayer hard mask |
US20020127853A1 (en) | 2000-12-29 | 2002-09-12 | Hubacek Jerome S. | Electrode for plasma processes and method for manufacture and use thereof |
US6319842B1 (en) | 2001-01-02 | 2001-11-20 | Novellus Systems Incorporated | Method of cleansing vias in semiconductor wafer having metal conductive layer |
US6589879B2 (en) | 2001-01-18 | 2003-07-08 | Applied Materials, Inc. | Nitride open etch process based on trifluoromethane and sulfur hexafluoride |
US6777344B2 (en) | 2001-02-12 | 2004-08-17 | Lam Research Corporation | Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications |
CN101038863B (zh) | 2001-02-15 | 2011-07-06 | 东京毅力科创株式会社 | 被处理件的处理方法及处理装置 |
US7186648B1 (en) | 2001-03-13 | 2007-03-06 | Novellus Systems, Inc. | Barrier first method for single damascene trench applications |
US6764940B1 (en) | 2001-03-13 | 2004-07-20 | Novellus Systems, Inc. | Method for depositing a diffusion barrier for copper interconnect applications |
US6723654B2 (en) | 2001-03-30 | 2004-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd | Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer |
US6951823B2 (en) | 2001-05-14 | 2005-10-04 | Axcelis Technologies, Inc. | Plasma ashing process |
US6834656B2 (en) | 2001-05-23 | 2004-12-28 | Axcelis Technology, Inc. | Plasma process for removing polymer and residues from substrates |
US6875702B2 (en) | 2001-06-11 | 2005-04-05 | Lsi Logic Corporation | Plasma treatment system |
US6632735B2 (en) | 2001-08-07 | 2003-10-14 | Applied Materials, Inc. | Method of depositing low dielectric constant carbon doped silicon oxide |
US20030036284A1 (en) | 2001-08-16 | 2003-02-20 | Yu-Ren Chou | Method for removing the photoresist layer of ion-implanting process |
US6872652B2 (en) | 2001-08-28 | 2005-03-29 | Infineon Technologies Ag | Method of cleaning an inter-level dielectric interconnect |
US20030045098A1 (en) | 2001-08-31 | 2003-03-06 | Applied Materials, Inc. | Method and apparatus for processing a wafer |
JP5038567B2 (ja) | 2001-09-26 | 2012-10-03 | 東京エレクトロン株式会社 | エッチング方法 |
JP4838464B2 (ja) | 2001-09-26 | 2011-12-14 | 東京エレクトロン株式会社 | 処理方法 |
US6680164B2 (en) | 2001-11-30 | 2004-01-20 | Applied Materials Inc. | Solvent free photoresist strip and residue removal processing for post etching of low-k films |
US6720132B2 (en) | 2002-01-08 | 2004-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bi-layer photoresist dry development and reactive ion etch method |
US7390755B1 (en) | 2002-03-26 | 2008-06-24 | Novellus Systems, Inc. | Methods for post etch cleans |
US6848455B1 (en) | 2002-04-22 | 2005-02-01 | Novellus Systems, Inc. | Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species |
US7074298B2 (en) | 2002-05-17 | 2006-07-11 | Applied Materials | High density plasma CVD chamber |
US6656832B1 (en) | 2002-07-25 | 2003-12-02 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma treatment method for fabricating microelectronic fabrication having formed therein conductor layer with enhanced electrical properties |
WO2004019134A1 (ja) | 2002-08-22 | 2004-03-04 | Daikin Industries, Ltd. | 剥離液 |
US6900135B2 (en) | 2002-08-27 | 2005-05-31 | Applied Materials, Inc. | Buffer station for wafer backside cleaning and inspection |
US6777173B2 (en) | 2002-08-30 | 2004-08-17 | Lam Research Corporation | H2O vapor as a processing gas for crust, resist, and residue removal for post ion implant resist strip |
US6693043B1 (en) | 2002-09-20 | 2004-02-17 | Novellus Systems, Inc. | Method for removing photoresist from low-k films in a downstream plasma system |
US6837967B1 (en) | 2002-11-06 | 2005-01-04 | Lsi Logic Corporation | Method and apparatus for cleaning deposited films from the edge of a wafer |
US6787452B2 (en) | 2002-11-08 | 2004-09-07 | Chartered Semiconductor Manufacturing Ltd. | Use of amorphous carbon as a removable ARC material for dual damascene fabrication |
KR100476136B1 (ko) | 2002-12-02 | 2005-03-10 | 주식회사 셈테크놀러지 | 대기압 플라즈마를 이용한 표면처리장치 |
US6780782B1 (en) | 2003-02-04 | 2004-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bi-level resist structure and fabrication method for contact holes on semiconductor substrates |
US20040195208A1 (en) | 2003-02-15 | 2004-10-07 | Pavel Elizabeth G. | Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal |
KR20060038925A (ko) | 2003-05-07 | 2006-05-04 | 액셀리스 테크놀러지스, 인크. | 광역온도범위의 척 시스템 |
US20040237997A1 (en) | 2003-05-27 | 2004-12-02 | Applied Materials, Inc. ; | Method for removal of residue from a substrate |
KR100542031B1 (ko) | 2003-05-30 | 2006-01-11 | 피에스케이 주식회사 | 반도체 제조공정에서의 포토레지스트 제거방법 |
US7205240B2 (en) | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
US7256134B2 (en) | 2003-08-01 | 2007-08-14 | Applied Materials, Inc. | Selective etching of carbon-doped low-k dielectrics |
US7799685B2 (en) * | 2003-10-13 | 2010-09-21 | Mattson Technology, Inc. | System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing |
US6924239B2 (en) | 2003-10-14 | 2005-08-02 | Texas Instruments Incorporated | Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation |
US20050106888A1 (en) | 2003-11-14 | 2005-05-19 | Taiwan Semiconductor Manufacturing Co. | Method of in-situ damage removal - post O2 dry process |
WO2005072211A2 (en) | 2004-01-20 | 2005-08-11 | Mattson Technology, Inc. | System and method for removal of photoresist and residues following contact etch with a stop layer present |
US20050158667A1 (en) | 2004-01-20 | 2005-07-21 | Applied Materials, Inc. | Solvent free photoresist strip and residue removal processing for post etching of low-k films |
JP2005268312A (ja) | 2004-03-16 | 2005-09-29 | Semiconductor Leading Edge Technologies Inc | レジスト除去方法及びそれを用いて製造した半導体装置 |
US20050221020A1 (en) | 2004-03-30 | 2005-10-06 | Tokyo Electron Limited | Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film |
WO2005104203A1 (ja) | 2004-03-31 | 2005-11-03 | Fujitsu Limited | 基板処理装置および半導体装置の製造方法 |
US7628864B2 (en) | 2004-04-28 | 2009-12-08 | Tokyo Electron Limited | Substrate cleaning apparatus and method |
US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
US7632756B2 (en) | 2004-08-26 | 2009-12-15 | Applied Materials, Inc. | Semiconductor processing using energized hydrogen gas and in combination with wet cleaning |
JP2006073612A (ja) | 2004-08-31 | 2006-03-16 | Rohm Co Ltd | レジスト除去方法 |
US7597816B2 (en) | 2004-09-03 | 2009-10-06 | Lam Research Corporation | Wafer bevel polymer removal |
US20060051965A1 (en) | 2004-09-07 | 2006-03-09 | Lam Research Corporation | Methods of etching photoresist on substrates |
US7169623B2 (en) * | 2004-09-09 | 2007-01-30 | Tegal Corporation | System and method for processing a wafer including stop-on-aluminum processing |
US20060102197A1 (en) | 2004-11-16 | 2006-05-18 | Kang-Lie Chiang | Post-etch treatment to remove residues |
US7202176B1 (en) | 2004-12-13 | 2007-04-10 | Novellus Systems, Inc. | Enhanced stripping of low-k films using downstream gas mixing |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
KR100607777B1 (ko) | 2004-12-27 | 2006-08-01 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조 방법 |
DE102004063036A1 (de) | 2004-12-28 | 2006-07-06 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Ausbilden von Kontaktflecken |
US7601272B2 (en) | 2005-01-08 | 2009-10-13 | Applied Materials, Inc. | Method and apparatus for integrating metrology with etch processing |
US7344993B2 (en) | 2005-01-11 | 2008-03-18 | Tokyo Electron Limited, Inc. | Low-pressure removal of photoresist and etch residue |
US7268071B2 (en) | 2005-01-12 | 2007-09-11 | Sony Corporation | Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping |
JP2006203035A (ja) | 2005-01-21 | 2006-08-03 | Tokyo Electron Ltd | プラズマエッチング方法 |
US7432172B2 (en) | 2005-01-21 | 2008-10-07 | Tokyo Electron Limited | Plasma etching method |
JP2006221772A (ja) | 2005-02-14 | 2006-08-24 | Fuji Photo Film Co Ltd | ディスク状情報媒体の製造方法 |
US7198677B2 (en) | 2005-03-09 | 2007-04-03 | Wafermasters, Inc. | Low temperature wafer backside cleaning |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
JP2006351594A (ja) | 2005-06-13 | 2006-12-28 | Toshiba Ceramics Co Ltd | 半導体ウェーハの電気特性の測定方法 |
JP2007019367A (ja) | 2005-07-11 | 2007-01-25 | Ricoh Co Ltd | 半導体装置の製造方法 |
JP5011852B2 (ja) | 2005-07-20 | 2012-08-29 | 富士通セミコンダクター株式会社 | 電子デバイスの製造方法 |
US7411298B2 (en) | 2005-08-17 | 2008-08-12 | Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) | Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices |
US7468326B2 (en) | 2005-08-24 | 2008-12-23 | United Microelectronics Corp. | Method of cleaning a wafer |
US7465680B2 (en) | 2005-09-07 | 2008-12-16 | Applied Materials, Inc. | Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2 |
US7909960B2 (en) | 2005-09-27 | 2011-03-22 | Lam Research Corporation | Apparatus and methods to remove films on bevel edge and backside of wafer |
JP2007109744A (ja) | 2005-10-11 | 2007-04-26 | Tokuyama Corp | 基板洗浄液 |
KR100742279B1 (ko) | 2005-12-22 | 2007-07-24 | 삼성전자주식회사 | 반도체 소자의 제조 장치 및 방법 |
KR20070069802A (ko) | 2005-12-28 | 2007-07-03 | 엘지.필립스 엘시디 주식회사 | 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법 |
US7432209B2 (en) | 2006-03-22 | 2008-10-07 | Applied Materials, Inc. | Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material |
US8034176B2 (en) | 2006-03-28 | 2011-10-11 | Tokyo Electron Limited | Gas distribution system for a post-etch treatment system |
US7851369B2 (en) | 2006-06-05 | 2010-12-14 | Lam Research Corporation | Hardmask trim method |
US8124516B2 (en) | 2006-08-21 | 2012-02-28 | Lam Research Corporation | Trilayer resist organic layer etch |
US7740768B1 (en) | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
US7655571B2 (en) | 2006-10-26 | 2010-02-02 | Applied Materials, Inc. | Integrated method and apparatus for efficient removal of halogen residues from etched substrates |
US7595005B2 (en) | 2006-12-11 | 2009-09-29 | Tokyo Electron Limited | Method and apparatus for ashing a substrate using carbon dioxide |
DE102006062035B4 (de) | 2006-12-29 | 2013-02-07 | Advanced Micro Devices, Inc. | Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement |
US8083963B2 (en) | 2007-02-08 | 2011-12-27 | Applied Materials, Inc. | Removal of process residues on the backside of a substrate |
US8435895B2 (en) | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
KR101440282B1 (ko) | 2007-07-11 | 2014-09-17 | 주성엔지니어링(주) | 플라즈마 세정 방법 |
US20090061623A1 (en) | 2007-09-05 | 2009-03-05 | United Microelectronics Corp. | Method of forming electrical connection structure |
JP2009094115A (ja) * | 2007-10-04 | 2009-04-30 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法 |
JP5192214B2 (ja) | 2007-11-02 | 2013-05-08 | 東京エレクトロン株式会社 | ガス供給装置、基板処理装置および基板処理方法 |
SG188140A1 (en) | 2008-02-08 | 2013-03-28 | Lam Res Corp | Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal |
JP5102653B2 (ja) | 2008-02-29 | 2012-12-19 | 東京エレクトロン株式会社 | プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体 |
US20090277871A1 (en) | 2008-03-05 | 2009-11-12 | Axcelis Technologies, Inc. | Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process |
US8791001B2 (en) | 2008-09-08 | 2014-07-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | N2 based plasma treatment and ash for HK metal gate protection |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US8173547B2 (en) | 2008-10-23 | 2012-05-08 | Lam Research Corporation | Silicon etch with passivation using plasma enhanced oxidation |
US20120024314A1 (en) | 2010-07-27 | 2012-02-02 | Axcelis Technologies, Inc. | Plasma mediated ashing processes |
US20100130017A1 (en) | 2008-11-21 | 2010-05-27 | Axcelis Technologies, Inc. | Front end of line plasma mediated ashing processes and apparatus |
US8268722B2 (en) | 2009-06-03 | 2012-09-18 | Novellus Systems, Inc. | Interfacial capping layers for interconnects |
WO2011008436A2 (en) | 2009-07-13 | 2011-01-20 | Applied Materials, Inc. | Method for removing implanted photo resist from hard disk drive substrates |
TWI634642B (zh) * | 2009-08-07 | 2018-09-01 | 半導體能源研究所股份有限公司 | 半導體裝置和其製造方法 |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
CN102652351B (zh) | 2009-12-11 | 2016-10-05 | 诺发系统有限公司 | 在高剂量植入剥除前保护硅的增强式钝化工艺 |
US8415212B2 (en) * | 2010-03-11 | 2013-04-09 | Freescale Semiconductor, Inc. | Method of enhancing photoresist adhesion to rare earth oxides |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
JP7070524B2 (ja) * | 2019-10-02 | 2022-05-18 | 株式会社三洋物産 | 遊技機 |
-
2012
- 2012-08-20 US US13/590,083 patent/US9613825B2/en active Active
- 2012-08-21 SG SG2012062360A patent/SG188065A1/en unknown
- 2012-08-24 KR KR1020120093279A patent/KR102013959B1/ko active IP Right Grant
- 2012-08-24 EP EP12181659.9A patent/EP2562796A3/en not_active Withdrawn
- 2012-08-24 TW TW101130809A patent/TWI598704B/zh active
- 2012-08-27 CN CN201210308821.2A patent/CN102955381B/zh active Active
- 2012-08-27 JP JP2012186425A patent/JP6598420B2/ja active Active
Patent Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0304068A2 (en) * | 1987-08-19 | 1989-02-22 | Fujitsu Limited | Removing resist layers |
JPH10209118A (ja) * | 1997-01-28 | 1998-08-07 | Sony Corp | アッシング方法 |
US6465352B1 (en) * | 1999-06-11 | 2002-10-15 | Nec Corporation | Method for removing dry-etching residue in a semiconductor device fabricating process |
US6030901A (en) * | 1999-06-24 | 2000-02-29 | Advanced Micro Devices, Inc. | Photoresist stripping without degrading low dielectric constant materials |
EP1077476A2 (en) * | 1999-08-05 | 2001-02-21 | Axcelis Technologies, Inc. | Oxygen free plasma stripping process |
JP2003264170A (ja) * | 2002-01-07 | 2003-09-19 | Tokyo Electron Ltd | プラズマ処理方法 |
JP2008300704A (ja) * | 2007-06-01 | 2008-12-11 | Sharp Corp | レジスト除去方法、半導体製造方法、及びレジスト除去装置 |
US20090277472A1 (en) * | 2008-05-06 | 2009-11-12 | Novellus Systems, Inc. | Photoresist Stripping Method and Apparatus |
CN101727024A (zh) * | 2008-10-14 | 2010-06-09 | 诺发系统有限公司 | 基于h2化学过程中的高剂量植入剥离(hdis) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105603390A (zh) * | 2014-09-26 | 2016-05-25 | 朗姆研究公司 | 具有主动冷却型格栅的气体分配装置 |
CN105603390B (zh) * | 2014-09-26 | 2018-04-27 | 朗姆研究公司 | 具有主动冷却型格栅的气体分配装置 |
CN105470110A (zh) * | 2014-09-30 | 2016-04-06 | 英飞凌科技股份有限公司 | 处理载体的方法、操作处理腔的方法和处理晶圆的方法 |
CN105470110B (zh) * | 2014-09-30 | 2018-12-07 | 英飞凌科技股份有限公司 | 处理载体的方法、操作处理腔的方法和处理晶圆的方法 |
CN107429418A (zh) * | 2014-11-12 | 2017-12-01 | 安托士设备系统公司 | 同时进行的光刻胶表面的亲水改性和金属表面准备:方法、系统和产品 |
CN108701586A (zh) * | 2016-02-26 | 2018-10-23 | 马特森技术有限公司 | 经注入的光致抗蚀剂的剥离处理 |
CN108701586B (zh) * | 2016-02-26 | 2022-09-30 | 玛特森技术公司 | 经注入的光致抗蚀剂的剥离处理方法 |
Also Published As
Publication number | Publication date |
---|---|
CN102955381B (zh) | 2019-07-09 |
TWI598704B (zh) | 2017-09-11 |
JP2013051416A (ja) | 2013-03-14 |
KR102013959B1 (ko) | 2019-08-23 |
SG188065A1 (en) | 2013-03-28 |
US20130048014A1 (en) | 2013-02-28 |
KR20130023167A (ko) | 2013-03-07 |
US9613825B2 (en) | 2017-04-04 |
TW201331725A (zh) | 2013-08-01 |
EP2562796A2 (en) | 2013-02-27 |
EP2562796A3 (en) | 2013-09-18 |
JP6598420B2 (ja) | 2019-10-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN102955381A (zh) | 用于改善的器件集成的光刻胶剥离方法 | |
US9941108B2 (en) | High dose implantation strip (HDIS) in H2 base chemistry | |
KR101226411B1 (ko) | 초저실리콘 손실 고농도 주입 박리 | |
US11107693B2 (en) | Method for high aspect ratio photoresist removal in pure reducing plasma | |
US9514954B2 (en) | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films | |
TWI518773B (zh) | 在高劑量植入剝除前保護矽之增強式鈍化製程 | |
CN101727024B (zh) | 基于h2化学过程中的高剂量植入剥离(hdis) | |
CN101542693A (zh) | 干式光阻剥除方法及设备 | |
EP2347439A2 (en) | Front end of line plasma mediated ashing processes and apparatus | |
US20140103010A1 (en) | Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process | |
US8283242B2 (en) | Method of removing photoresist | |
KR100780660B1 (ko) | 높은 도즈의 이온주입배리어로 사용된 감광막의 스트립방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |