KR101226411B1 - 초저실리콘 손실 고농도 주입 박리 - Google Patents

초저실리콘 손실 고농도 주입 박리 Download PDF

Info

Publication number
KR101226411B1
KR101226411B1 KR1020117012215A KR20117012215A KR101226411B1 KR 101226411 B1 KR101226411 B1 KR 101226411B1 KR 1020117012215 A KR1020117012215 A KR 1020117012215A KR 20117012215 A KR20117012215 A KR 20117012215A KR 101226411 B1 KR101226411 B1 KR 101226411B1
Authority
KR
South Korea
Prior art keywords
plasma
gas
carbon
work
protective compound
Prior art date
Application number
KR1020117012215A
Other languages
English (en)
Other versions
KR20110100196A (ko
Inventor
데이비드 청
하오쿠안 팽
잭 쿠오
일리아 칼리노브스키
테드 리
앤드류 야오
아니르반 구하
커크 오스트로브스키
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20110100196A publication Critical patent/KR20110100196A/ko
Application granted granted Critical
Publication of KR101226411B1 publication Critical patent/KR101226411B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

작업 부재 표면으로부터, 포토레지스트를 박리하고 이온 주입과 관련된 잔류물을 제거하기 위한 개선된 방법이 제공된다. 다양한 실시예에 따르면, 원소 수소, 불소-함유 기체 및 보호성 기체를 이용하여 플라스마가 생성된다. 플라스마-활성화 기체가 고농도 주입 레지스트와 반응하여, 크러스트와 벌크 레지스트 층을 모두 제거하면서, 동시에, 작업 부재 표면의 노출된 부분을 보호한다. 실리콘 손실이 낮으면서, 작업 부재 표면에 실질적으로 잔류물이 없다.

Description

초저실리콘 손실 고농도 주입 박리{ULTRA LOW SILICON LOSS HIGH DOSE IMPLANT STRIP}
이 출원은 미국 특허 출원 제12/636,582호(2009년12월11일)로부터 우선권 주장하는 국제 특허 출원 PCT/US2010/059388호(출원일: 2010년12월08일)을 기초로 우선권 주장하며, 상기 우선권 주장 출원들은 본원에서 참조로서 포함된다.
본 발명은 포토레지스트 물질을 제거하거나 박리하기 위한 방법 및 장치에 관한 것이며, 작업 부재(work piece) 표면으로부터 관련 잔류물을 제거하는 것에 관련되어 있다. 특정 실시예에서, 본 출원은 이온 주입 또는 플라스마-보조식 도핑 주입 후 레지스트(저농도(low dose) 또는 고농도(high dose)로 주입된 레지스트)를 박리하기 위한 방법과 장치에 관한 것이다.
포토레지스트는, 공정 중에 작업 부재(가령, 반도체 웨이퍼) 상에 패터닝된 코팅을 형성하기 위한 특정 제조 공정에서 사용되는 빛에 민감한 물질이다. 포토레지스트가 코팅된 표면이 고에너지 복사선의 패턴에 노출된 후, 포토레지스트의 일부분이 제거되고 보호될 나머지 표면 부분은 남겨짐으로써 그 아래 위치하는 표면이 드러난다. 반도체 공정, 가령, 에칭, 증착 및 이온 주입이, 드러난 표면과 잔류 포토레지스트 상에서 수행된다. 하나 이상의 반도체 공정을 수행한 후, 박리 동작으로, 잔류 포토레지스트가 제거된다.
이온 주입 동안, 도펀트 이온(가령, 보론, 보론 디플루오라이드, 인듐, 갈륨, 탈륨, 인, 비소, 안티몬, 비스무스, 또는 게르마늄의 이온)이 작업 부재 표적 쪽으로 가속된다. 작업부재의 노출된 영역에서뿐 아니라, 나머지 포토레지스트 표면에서도 이온이 주입된다. 공정은 웰(well) 영역(소스/드레인)과, 저농도로 도핑된 드레인(LDD: lightly doped drain) 및 이중 확산 드레인(DDD: doubled diffused drain) 영역을 형성할 수 있다. 이온 주입법은 레지스트에 주입 종(implant species)을 주입하고, 수소 표면을 공핍화한다. 레지스트의 외부 층, 즉 크러스트(crust)는, 그 아래 위치하는 벌크 레지스트 층보다 훨씬 더 치밀(dense)할 수 있는 탄화된 층을 형성한다. 이들 2개의 층은 서로 다른 열 팽창율을 가지며, 서로 다른 속도로 박리 공정에 반응할 수 있다.
후 고농도 이온 주입 레지스트(post high-dose ion implant resist)에서, 외부 층과 벌크 층 간의 차이가 꽤 명확하다. 고농도 주입법에서, 이온 도즈량은 1×1015개 이온/㎠보다 높을 수 있으며, 에너지는 10Kev 내지 100keV 이상일 수 있다. 전통적인 고농도 주입 박리(HDIS: high dose implantation strip) 공정은 산소 화학 작용을 이용하며, 여기서 단원자 산소가 공정 챔버로부터 멀리 떨어져 형성되고, 그 후, 작업 부재 표면으로 보내진다. 반응성 산소가 포토레지스트와 결합되어 기체 부산물을 형성할 수 있으며, 상기 기체 부산물은 진공 펌프를 이용해 제거된다. HDIS의 경우, 산소를 이용해 주입된 도펀트를 제거하기 위해 추가적인 기체가 필요하다.
주요한 HDIS 고려사항으로는, 박리 속도(strip rate), 잔류물(residue)의 양, 노출된 아래 놓인 막(film) 층의 막 손실율(film loss)이 있다. 잔류물은 HDIS 및 박리 후, 기판 표면 상에서 흔하게 발견된다. 잔류물은 고에너지 주입(high-energy implant) 동안의 스퍼터링(sputtering), 크러스트의 불완전한 제거 및/또는 레지스트 내 주입물 원자의 산화로 인해 나타날 수 있다. 높은 수율을 보장하고, 추가적인 잔류물 제거 공정에 대한 필요성이 없어지도록, 박리 후, 표면은 잔류물 없는 상태, 또는 실질적으로 잔류물 없는 상태여야 한다. 과다 박리(overstripping)에 의해, 즉, 모든 포토레지스트를 제거하기 위해 필요한 명목상 포인트를 지나서도 박리 공정을 계속함으로써, 잔류물이 제거될 수 있다. 불행하게도, 종래의 HDIS 동작에서, 과다 박리는 가끔, 아래 위치하는 기능 소자 구조물의 일부를 제거한다. 소자 층(device layer)에서, 트랜지스터 소스/드레인 영역에서의 거의 없는 실리콘 손실이 소자 성능과 수율에 부정적으로 영향을 미칠 수 있는데, <32㎚ 설계 규칙(design rule) 또는 그 이하에서 제조되는 초미세 접합(ultra shallow junction) 소자의 경우, 특히 그렇다.
따라서, 포토레지스트 및 이온 주입 관련 잔류물을 박리하기 위해, 특히, HDIS의 경우, 허용 가능한 박리 속도를 유지하면서, 실리콘 손실을 최소화하고 잔류물을 거의, 또는 전혀 남겨두지 않는 개선된 방법과 장치가 필요하다.
작업 부재 표면으로부터, 포토레지스트를 박리하고 이온 주입과 관련된 잔류물을 제거하기 위한 개선된 방법이 제공된다. 다양한 실시예에 따르면, 원소 수소, 불소-함유 기체 및 보호성 기체를 이용하여 플라스마가 생성된다. 플라스마-활성화 기체가 고농도 주입 레지스트와 반응하여, 크러스트와 벌크 레지스트 층을 모두 제거하면서, 동시에, 작업 부재 표면의 노출된 부분을 보호한다. 실리콘 손실이 낮으면서, 작업 부재 표면에 실질적으로 잔류물이 없다.
도 1a-1d는, 이온 주입 및 박리 작업의 전과 후의 반도체 소자 제조의 다양한 단계를 도시한다.
도 2a-2d는 소자가 금속 게이트를 포함하는 특정 실시예에 따라, 이온 주입 및 박리 작업 전과 후의 반도체 소자 제조의 다양한 단계를 도시한다.
도 3a는 NF3 유량과 CF4 유량의 함수로서, 남아 있는 잔류물을 도시한다.
도 3b는 NF3 유량과 CF4 유량의 함수로서, 실리콘 손실량을 도시한다.
도 4 및 5는 본 발명의 특정 실시예에 따르는 다양한 작업을 도시하는 공정 순서도이다.
도 6은 CO2 유량의 함수로서의 실리콘 손실을 도시한다.
도 7은 본 발명의 양태를 구현하기에 적합한 다중-스테이션 순차 구조를 도시한다.
도 8은 본 발명의 양태를 구현하기에 적합한 장치를 도시하는 개략도이다.
도입
다음에서 제공되는 본 발명의 상세한 기재에서, 본 발명의 완전한 이해를 제공하기 위해, 다양한 특정 실시예가 제공된다. 그러나 해당업계 종사자라면 알겠지만, 본 발명은, 이들 특정 세부사항 없이도, 또는 대안적 요소나 프로세스를 이용하여서도, 실시될 수 있다. 한편, 본 발명의 양태를 불필요하게 흐리지 않도록, 잘 알려진 프로세스, 절차 및 구성요소는 상세히 기재되지 않았다.
이 경우, 용어 "작업 부재(work piece)", "반도체 웨이퍼(semiconductor wafer)", "웨이퍼(wafer)" 및 "부분적으로 제조된 집적 회로(partially fabricated integrated circuit)"은 서로 교환 가능하게 사용될 것이다. 해당업계 종사자라면, 용어 "부분적으로 제조된 집적 회로"가, 집적 회로 제조의 많은 단계들 중 임의의 단계에서의 실리콘 웨이퍼를 지칭할 수 있음을 이해할 것이다. 다음의 상세한 설명은 본 발명이 웨이퍼 상에서 구현됨을 가정한다. 그러나 본 발명은 웨이퍼 상에서 구현되는 것으로 한정되지 않는다. 작업 부재는 다양한 형태, 크기 및 재료를 가질 수 있다. 반도체 웨이퍼 외에, 본 발명을 이용할 수 있는 그 밖의 다른 작업 부재로는 다양한 제품, 가령, 디스플레이, 인쇄 회로 기판 등이 있다.
앞서 언급된 바와 같이, 본 발명의 방법 및 장치가, 고농도 이온 주입(high-dose ion implantation) 후, 포토레지스트를 효과적이고 효율적으로 제거할 수 있다. 본 발명은 고농도 주입 박리(HDIS: high-dose implant strip)로 한정되지 않는다. 또한 본 발명은 임의의 특정 카테고리의 주입되는 도펀트로 국한되지 않는다. 예를 들어, 기재된 방법과 장치는, 중농도 또는 저농도 주입 후의 박리의 경우에서도 효과적으로 사용될 수 있다. 특정 도펀트 이온, 가령, 보론, 비소 및 인이 언급되지만, 본 발명의 방법 및 장치는 그 밖의 다른 도펀트(가령, 질소, 산소, 탄소, 게르마늄 및 알루미늄)가 주입되는 레지스트를 효과적으로 박리하도록 사용될 수 있다.
본 발명의 방법 및 장치는 수소를 함유하는 기체로부터 발생되는 플라스마를 이용한다. 특정 실시예에서, 또한 기체는 약 산화제(weak oxidizing agent), 불소 함유 기체 및 보호성 기체(protectant gas), 가령 CF4를 포함한다. 해당업계 종사자라면, 플라스마에 존재하는 실제 종은 수소, 약 산화제, 불소 함유 기체 및 보호 기체로부터 얻어진 여러 다른 이온, 라디칼 및 분자의 혼합물일 수 있다. 플라스마가 유기(organic) 포토레지스트 및 그 밖의 다른 잔류물과 반응하여 이들을 분해하기 때문에, 반응 챔버에 그 밖의 다른 종(가령, 소량의 탄화수소, 이산화탄소, 수증기 및 그 밖의 다른 휘발성 성분)이 존재할 수 있다. 해당업계 종사자라면, 플라스마로 도입되는 하나 이상의 초기 기체는, 플라스마를 빠져나가는 하나 이상의 기체뿐 아니라 박리 동안 작업 부재 표면과 접촉하는 기체와도 상이함을 알 것이다.
도 1a 내지 1d는 이온 주입 및 박리 동작 전과 후의 반도체 제조의 다양한 단계를 도시한다. 도 1a는 포토레지스트 물질(103)로 코팅된 반도체 기판(101)을 도시한다. 기판(101)은 증착된 막(가령, 산화물 막, 실리사이드 컨택트 및/또는 폴리실리콘 막)의 하나 이상의 층을 포함하거나, 베어 실리콘 기판(bare silicon substrate)(예를 들어, 실리콘-온-절연체(silicon-on-insulator) 타입 기판)일 수 있다. 먼저, 포토레지스트 물질로 전체 기판 표면을 코팅한다. 그 후, 포토레지스트가, 마스크를 통해 생성된 패터닝된 복사선(patterned radiation)에 노출되고, 물질의 일부분(가령, 도 1a에서 도시된, 남겨진 포토레지스트 물질(103)들 사이의 오프닝(opening, 104))을 제거하도록 현상된다.
그 후, 기판이 이온 주입 공정에 노출된다. 이온 주입 동안, 작업 부재, 즉 웨이퍼의 표면에 도펀트 이온이 주입된다. 예를 들어, 공정은 플라스마-침투 이온 주입(PIII: plasma-immersion ion implantation) 또는 이온 빔 주입일 수 있다. 이온이, 노출된 실리콘 층(10)과 포토레지스트(103)를 포함하는 기판 표면에 충돌(bombard)한다. 고에너지 이온 주입을 이용하여, 소량의 아래 위치하는 물질(107)이 포토레지스트 측벽에 스퍼터링될 수 있다. 도 1b를 참조하라. 이러한 물질은 주입 종(implant species)의 일부, 그 밖의 다른 플라스마 또는 이온 빔의 물질, 그리고 주입의 부산물을 포함할 수 있다. 이들은 실리콘, 알루미늄, 탄소, 불소, 티타늄, 그 밖의 다른 접촉 물질(contact material)(가령, 코발트) 및 산소(원소와 화합물 형태 모두)를 포함한다. 실제 종은 이온 주입 전의 기판의 조성과, 포토레지스트와, 주입되는 종에 따라 달라진다.
노출된 실리콘 층(101)에서, 도핑된 영역(109)이 생성된다. 충돌의 이온 에너지 또는 강도가 도핑된 영역의 깊이 또는 두께를 결정한다. 이온 플럭스의 밀도가 도핑 범위를 결정한다.
또한 이온은 크러스트 층(crust layer, 105)을 생성하는 포토레지스트 표면에 침투한다. 크러스트 층(105)은 탄화되고 강하게 가교결합된 폴리머 체인일 수 있다. 상기 크러스트에는 수소가 공핍되어 있고, 주입 종이 침투되는 것이 일반적이다. 상기 크러스트 층(105)은 벌크 레지스트 층(103)보다 치밀하다. 상대적인 밀도는 이온 플럭스에 따라 달라지며, 반면에 크러스트 층의 두께가 이온 에너지에 따라 달라진다.
이 크러스트 층(105)은 아래 위치하는 벌크 포토레지스트(103)보다 박리하기 더 어렵다. 크러스트 층의 제거 속도는, 그 아래 위치하는 벌크 포토레지스트보다 50% 또는 75% 더 낮을 수 있다. 벌크 포토레지스트는 비교적 높은 레벨의 화학 결합된 질소와 자신의 본래 캐스팅 용매 중 일부를 함유한다. 상승된 웨이퍼 온도(가령, 150℃이상에서 200℃ 이상으로 상승된 웨이퍼 온도)에서, 벌크 레지스트는 아웃가스(outgas)되고, 크러스트 층에 비해 팽창될 수 있다. 그 후, 크러스트에 의해 아래 위치하는 벌크 포토레지스트가 압력받음에 따라, 전체 포토레지스트가 터질("pop") 수 있다. 포토레지스트 터짐 현상(popping)이 입자들 및 공정 결함의 원인인데, 이는, 잔류물은 웨이퍼 표면 및 챔버 내부 부품으로부터 세정되기 특히 어렵기 때문이다. 고농도 이온 주입을 이용하는 경우, 크러스트와 그 아래 위치하는 벌크 포토레지스트 층 간의 밀도 차이가 훨씬 더 커진다. 또한 크러스트가 더 두꺼워질 수 있다.
도 1c는 포토레지스트(103)와 측벽 스퍼터 잔류물(107)을 완전히 제거하는 데 실패한 박리 후의 기판을 도시한다. 측벽 스퍼터 잔류물(107)은, 종래의 박리 화학 작용 하에서 휘발성 화합물을 형성하지 않는 입자를 포함할 수 있다. 종래의 박리 동작 후에 이들 입자가 유지될 수 있다. 또한 잔류물은, 종래의 박리 화학 작용에서 사용되는 반응성 산소와 함께 형성되는 주입된 종의 산화물(가령, 보론 산화물 및 비소 산화물)를 포함할 수 있다. 크러스트(105)의 일부분이 또한 기판 상에 남겨질 수 있다. 포토레지스트 비아의 바닥에 위치하는 크러스트의 측벽 및 모서리가, 기하학적 형태 때문에, 박리되기 어려울 수 있다.
일부 경우, 이들 잔류물 입자는, 불화 화학 작용(fluorinated chemistry) 또는 웨이퍼의 습식 세정을 이용하는 과다 박리(overstripping)에 의해 제거될 수 있다. 종래의 산소 화학 작용에서 과다 박리는 원치 않는 실리콘 산화 작용을 초래하면서, 여전히, 보론 산화물 및 비소 산화물인 잔류물이 존재할 경우 이들을 제거하지 않는 것으로 알려져 있다. 본 발명에 따라 생성된 플라스마 내 불소 화합물을 이용함으로써, 휘발성 보론 불화물 및 비소 불화물을 형성할 수 있는 불소 라디칼이 생성된다. 이는 잔류물의 제거를 돕지만, 불행히도, 그 아래 위치하는 실리콘 및 실리콘 산화물을 기판에서 에칭할 수 있다. 본 발명의 실시예에 따르는 특정 박리 불화 화학 작용에 의해, 이러한 문제가 완화될 수 있다.
실리콘 손실률은 레지스트 두께, 크러스트 두께 및 퍼센트 과다 박리의 함수이다. 더 두꺼운 레지스트를 제거하기 위한 것일수록, 더 길고 더 과감한 박리가 사용되어, 실리콘을 더 제거할 수 있다. 더 두꺼운 크러스트를 갖는 레지스트의 경우, 크러스트 층과 벌크 레지스트 층 간의 차이가 훨씬 더 두드러진다. 크러스트 측벽과 모서리가 두꺼울수록, 박리하기 더 어렵다. 따라서 두꺼운 크러스트를 제거하도록 설계된 박리 공정은 실리콘을 더 제거하는 경향을 가진다. 잔류물 제거에 추가로, 레지스트 균일성 및 기하학적 형태 관련 문제를 해결하기 위해, 과다 박리가 사용될 수 있다. 과다 박리는 박리 공정을 모든 포토레지스트를 제거하기 위해 명목적으로 요구되는 포인트를 지나서도 계속하는 것이다. 웨이퍼의 일부 영역에서 포토레지스트가 완전히 제거되었지만, 나머지 영역에서는 그렇지 않은 경우, 박리 공정의 계속으로 인해, 이미 박리된 영역에서 추가적인 물질(통상, 실리콘 및 실리콘 옥사이드)이 제거될 것이다. 통상적인 과다 박리는 약 100%이다.
도 1d는 모든 잔류물이 제거된 후의 기판을 도시한다. 다양한 실시예에 따라, 추가적인 실리콘 손실 또는 산화 작용 없이, 최소한의 딜레이를 두고, 잔류물이 제거된다. 특정 실시예에서, 박리 공정은 어떠한 잔류물도 남기지 않으며, 따라서 공정 단계의 수를 감소시킨다.
도 2a-2d는 장치가 금속 게이트를 포함하는 특정 일실시예에 대한 이온 주입 및 박리 작업 전과 후의 반도체 제조의 다양한 스테이지를 도시한다. 도 2a는 이온 주입 전에, 반도체 기판(201) 상에 금속 게이트 스택(metal gate stack, 210)과 패터닝된 포토레지스트(203)를 포함하는 부분적으로 제조된 소자를 도시한다. 도면에 도시된 바에서, 상기 패터닝된 포토레지스트(203)가 금속 게이트 스택(210)을 부분적으로 가린다. 특정 실시예에서, 기판(201)은 실리콘-온-절연체(silicon-on-insulator) 기판이다. STI(Shallow Trench Isolation) 영역(205)은 기판(201)에 내장되며, 일반적으로 절연 물질(가령, 실리콘 산화물)로 충전된 트렌치이다. 도 2b는 이온 빔 플럭스(214)와 리스퍼터 플럭스(resputter flux, 216)를 포함하는 주입 동안의 소자를 도시한다. 리스퍼터 플럭스(216)는 기판 물질(Si, STI 및 SiN)을 측벽에 증착한다. 주입 후, 크러스트(215)가 벌크 포토레지스트(203)의 상면(215a)과 측벽(215b) 상에 형성된다(도 2c 참조). 상면 크러스트(215a)와 측면 크러스트(215b)는, 이온 주입 빔 플럭스(214)의 각도와, 리스퍼터 플럭스(216)에 의한 측벽 증착으로 인해, 주입 동안의 상이한 환경을 볼 수 있다. 본원에서 기재된 공정을 이용하여, 벌크 포토레지스트(203)와 크러스트 형상(215a 및 215b)이 제거되어, 손상되지 않은 금속 게이트(210)가 남겨지고, 기판(201)과 STI 영역(205)의 표면에서 표면 물질이 최소한으로 손실된다(도 2d 참조).
본 발명의 방법은 포토레지스트와 잔류물을 제거하면서, 실리콘 손실과 게이트 스택에 입히는 손상을 최소화한다. 다양한 실시예에 따르면, 금속 게이트 스택이 티타늄 니트라이드(TiN), Ta, TaN 및 W 중 하나 이상을 포함할 수 있다. 하이-k 게이트 유전체(가령, 하프늄 옥사이드, 지르코늄 옥사이드 및 티타늄 옥사이드)가 기판과 금속 게이트 사이에 놓일 수 있다. 폴리실리콘 게이트와 달리, 금속 게이트는 종래의 산소 기반 박리 화학 작용과 양립할 수 없다. 덧붙여, 종래의 산소 기반 화학 작용은 높은 실리콘 손실을 초래한다.
본 발명의 일양태는, 고농도 주입 레지스트를 위한 신규한 박리 화학 작용과, 실리콘 손실을 제한하는 잔류물 제거에 관한 것이다. 다양한 실시예에 따르면, 포토레지스트와 잔류물이, 분자 수소, 약 산화제, 불소 함유 화합물 및 보호성 화합물(protectant compound)로부터 형성된 플라스마에 노출된다. 본 발명의 공정은 실리콘 손실을 최소로 하면서 잔류물이 실질적으로 없고, 금속 게이트와 양립될 수 있는 박리 공정을 달성한다. 임의의 특정 이론 또는 반응 메커니즘에 구애받지 않고, 플라스마 내 불소 라디칼이 공정 기체 내 수소와 결합하여, 불소 라디칼로서 남겨지는 것 대신, 수소 불화물(HF)을 형성한다고 여겨진다. 보호성 화합물이 표면 실리콘과 반응하여 HF에서 산화물보다 더 낮은 에칭율을 갖는 보호성 중합 막(polymerized film), 또는 탄화물, 질화물 또는 그 밖의 다른 비-산화물 보호성 층을 형성할 수 있기 때문에, 실리콘 손실이 부분적으로 감소되는 것으로 여겨진다.
공정 화학 작용
알다시피, 박리 공정은 다양한 구성 기체(component gas)를 포함하는 기체로부터 플라스마를 발생하는 과정을 포함한다. 본 발명의 박리 화학 작용은 산소 기반보다는 수소 기반이다. 분자 수소(H2)가 플라스마-발생 기체의 주성분이며, 1,000-40,000sccm, 가령, 1,000-6,000sccm의 H2가 배경에 흐르며, 이때, 플라스마 발생 기체의 그 밖의 다른 성분의 예시적 유량은 적어도 한 자릿수 이상이다. 다양한 실시예에 따르면, 상기 그 밖의 다른 구성 기체는 불소-함유 화합물과 보호성 화합물을 포함한다. 많은 실시예에서, 이산화탄소 또는 그 밖의 다른 약 산화제(weak oxidizing agent)가 포함되지만, 특정 실시예에서는 그렇지 않다.
약 산화제의 예로는, 탄소 산화물(가령, 이산화탄소(CO2), 일산화탄소(CO)), 질소 산화물(가령, 아산화질소(N2O), 산화질소(NO), 이산화질소(NO2)), 황산화물(가령, 산화황(SO) 및 이산화황(SO2))을 포함한다. 그 밖의 다른 약 산화물의 예로는, 임의의 산소 함유 탄화수소(CXHYOZ) 및 물(H2O)을 포함한다. 특정 실시예에서, 약 산화제는 탄소-함유 화합물이다. 특정 실시예에서, 이산화탄소가 저렴하고, 안전하며, 효과적이기 때문에, 약 산화제로서 사용된다.
불소-함유 기체는 니트로겐 트리플루오라이드(NF3), 설퍼 헥사플루오라이드(SF6), 헥사플루오로에탄(C2F6), 테트라플루오로메탄(CF4), 트리플루오로메탄(CHF3), 디플루오로메탄(CH2F2), 옥토플루오로프로판(C3H8), 옥토플루오로시클로부탄(C4H8), 옥토플루오로[1-]부탄(C4F8), 옥토플루오로[2-]부탄(C4H8), 옥토플루오로이소부틸렌(C4F8),불소(F2) 등일 수 있다. 구체적 실시예에서, 불소-함유 기체는 NF3, SF6, F2 또는 HF 증기이다. 이하에서 언급되겠지만, 이들 기체는 특정 탄소-함유 에칭제(가령, CF4)보다 우수한 박리 기체이다. 특정 실시예에서, 불소-함유 화합물은 탄소-불함유 화합물(non-carbon containing compound)이다. 앞서 언급된 바와 같이, 박리 동안, 챔버에서의 주요 에칭제 성분은 HF 증기인 것으로 여겨진다. 따라서, 특정 실시예에서, HF 증기로 쉽게 변환되는 임의의 불소-함유 기체가 사용될 수 있다.
보호성 화합물은 탄소-함유 화합물인 것이 일반적이지만, 특정 실시예에서, 질소-함유 화합물일 수 있다. 특정 실시예에서, 보호성 기체는 불소-함유 화합물일 수도 있다. 예를 들어, 특정 실시예에서, 보호성 화합물은 CF4이다. 공정 화학물은 일반적으로 불소-함유 화합물(가령, NF3)과 보호성 화합물(CF4) 모두를 포함한다(이들 화합물이 개별적인 경우). 즉, 보호성 화합물이 불소를 포함하는 경우라도, 별도의 불소-함유 화합물도 역시 제공된다. 특정 실시예에서, 불소-함유 화합물은 보호성 화합물보다 상당히 더 강력한 에칭제이다. 특정 실시예에서, 보호성 화합물은 탄소-함유 화합물이며, 예를 들어, CF4 및 CH4이다. 특정 실시예에서, 보호성 화합물은 질소 함유 화합물이다. 특정 이론이나 메커니즘에 구애받지 않고, 보호성 화합물은 실리콘/실리콘 산화물 표면과 반응하거나, 실리콘/실리콘 산화물 표면과 반응하기 위한 반응성 종을 제공함으로써, 예를 들어, 에칭에 더 내성을 갖는 탄화물 및 질화물을 형성한다. 예를 들어, HF에서 질화물의 에칭률(etching rate)은 산화물의 에칭률의 약 50배 수준이며, 탄화물의 에칭률은 질화물의 에칭률보다 적어도 약 한 자릿수만큼 더 낮다. 특정 실시예에서, 산소-함유 화합물이 강력한 산화제이지 않는 한, 보호성 화합물로서 사용될 수 있다.
특정 실시예에서, 박리 화학물은 H2/CO2/NF3/CF4이며, 예를 들어, 예시적 상대 부피 비는 100/0.1-1/.5-4/2-5이다. 일례에서, 비는 3000/32/15/100이다. 약 산화제, 불소-함유 기체, 보호성 기체의 상대적 양과 그 밖의 다른 박리 챔버 내 조건들이, 플라스마 타입(다운스트림 타입 vs. 다이렉트 타입), RF 파워, 챔버 압력, 기판(웨이퍼) 크기 및 약 산화제의 타입, 사용되는 불소-함유 기체 및 보호성 기체, 그리고 그 밖의 다른 요인들에 따라 달라진다. Novellus GammaTM 시스템에서 300㎜ 웨이퍼를 기초로 하여, 기체의 총 유량은 약 1,000sccm 내지 약 40,000sccm이며, 이산화탄소의 유량은 약 1sccm 내지 약 400sccm이고, RF 파워는 약 300와트(Watt) 내지 약 5000와트인 것이 바람직할 것이다. 챔버 압력은 약 300mTorr 내지 약 2Torr, 가령, 약 800mTorr 내지 약 1.6Torr인 것이 일반적일 것이다.
이하에서 설명되겠지만, 특정 실시예에서, 포토레지스트 및 잔류물의 완전한 제거와 저 실리콘 손실을 제공하기 위해, 불소 함유 기체와 보호성 기체의 비는 특정 공정 시퀀스로 달라진다. 또한 이하에서 설명되겠지만, 이산화탄소 또는 그 밖의 다른 약 산화제가 실리콘 손실을 감소시키도록 제어된다.
공정 시퀀스
특정 실시예에서, 고농도 주입 크러스트 및 잔류물 제거에 효과적인 공정 시퀀스가 제공된다. 특정 실시예에서, 공정 시퀀스는, 필요한 제거를 제공하기 위해, 공정 시퀀스 동안 불소-함유 기체와 보호성 기체의 비를 변화시키는 과정을 포함한다. 특정 실시예에서, 공정 시퀀스는, 불소-함유 기체 및 보호성 구성 기체를 포함하는 기체로부터 발생되는 플라스마에 웨이퍼가 노출되는 작업과, 뒤 이어, 불소-함유 기체만으로부터 발생되는 플라스마에 웨이퍼가 노출되는 작업을 포함한다. 특정 실시예에서, 이들 작업의 순서는 반대일 수 있다.
도 3a 및 3b는 잔류물을 감소시키고 실리콘 손실을 감소시키기 위해, 불소 함유 기체(NF3)와 보호성 기체(CF4)가 이용될 수 있음을 나타내는 실험 결과를 보여준다. 도 3a는 잔류물의 양을 NF3과 CF4 유량의 함수로서(이때, 그 밖의 다른 화합물은 일정한 유량을 유지함) 나타내는 잔류물 스코어를 도시한다. 유량이 증가함에 따라, 불소가 더 많이 존재함으로써, 잔류물이 감소한다. 보호성 기체가 불소-함유 화합물이 아닌 실시예에서, 보호성 기체에 대한 곡선은 평활화(flatten)될 수 있다. 도 3b는 NF3 및 CF4 유량의 함수로서 실리콘 손실을 도시한다. NF3 유량이 증가함에 따라, 플라스마 내 활성화된 불소 종 및/또는 불소-함유 화합물에 의한 표면 에칭으로 인해 실리콘 손실이 증가한다. 그러나 도 3b에서 도시된 바와 같이 NF3 유량이 고정된 때(가령, 60sccm) CF4 유량이 증가함에 따라 실리콘 손실이 감소한다. NF3 유량에 따라, CF4 반응의 기울기가 더 커지거나 작아지며, 이는 올바른 비를 갖는 것이 중요함을 나타낸다. 앞서 도시된 바와 같이, (일반적으로 불소 증가에 따라 예상되는 것과는 달리) CH4 유량의 증가에 따른 실리콘 손실의 감소는 기판 표면에 탄소-함유 보호성 막이 형성되기 때문일 수 있다. 상기 보호성 막은 탄소 종과 실리콘 표면 물질 간의 중합 반응에 의해 형성될 수 있다. 불소-함유 보호성 기체를 이용함으로써, 보호성 기체는 보호 효과뿐 아니라 (불소-함유 기체와 함께) 잔류물 제거까지 동시에 제공할 수 있다.
(가령, H2/CO2/NF3 공정에서) NF3이 홀로 사용되는 경우, 수용될 수 없을 정도로 높은 실리콘 손실률에서는 말고, 잔류물이 모두 제거될 수 있다. (가령, H2/CO2/CF4 공정에서) CF4가 홀로 사용되는 경우, 제거 공정은 상당히 더 낮아질 것이고, 완전한 제거를 야기하지 않을 수 있다. 다양한 실시예에서, 공정은 NF3과 CF4의 조합을 이용하는 하나 이상의 작업을 포함한다. 예를 들어, 일실시예에서, 후-고농도(post-HDI) 웨이퍼가 박리 챔버 내부에 배치될 수 있다. 웨이퍼를 선-가열(pre-heating)한 후, H2/CO2/CF4/NF3 기체가 챔버로 도입되고, 플라스마가 점화된다. 크러스트, 벌크 포토레지스트 및 그 밖의 다른 잔류물을 세정하기에 충분한 시간 주기 동안 웨이퍼가 플라스마에 노출된다.
특정 실시예에서, 공정 시퀀스에서 NF3(또는 그 밖의 다른 불소-함유 기체)과 CF4(또는 그 밖의 다른 보호성 기체)의 상대적 양이 달라진다. 레지스트의 타입, 주입되는 이온, 주입 매개변수에 따라, 여러 다른 공정 시퀀스가 사용될 수 있다. 이하에서, 다양한 후-주입 포토레지스트 및 형성된 잔류물을 박리하기 위한 공정 시퀀스의 예와 함께, 벌크 포토레지스트, 크러스트 및 스퍼터 잔류물을 제거하도록 사용될 수 있는 시퀀스, 또는 시퀀스의 일부분에 대해 기재된다. 간단하게 말하자면, 이하의 기재에서 NF3과 CF4을 언급하지만, 그 밖의 다른 불소-함유 기체와 보호성 기체가 각각 이들 구성 기체로서 사용될 수 있음을 알 것이다. 특정 실시예에서, 측면 크러스트와 상면 크러스트를 제거하기 위한 2단계 공정(NF3 + CF4 사용과, 뒤 이어 NF3 만 사용)이 사용된다. (모든 박리 동작에 있어서, H2와, 선택적으로 CO2까지 배경으로 흐른다.) 측면 크러스트(NF3 + CF4)와, 뒤 이어 상면 크러스트(NF3만)를 제거하기 위해 2단계 공정이 사용된다. NF3만 사용하는 작업은, NF3 유량이 2배 이상 증가하는 불소 "첨가(spike)" 또는 "버스트(burst)"을 포함할 수 있다. 예를 들어, 다음의 퍼-스테이션(per-station) 유량이 적용될 수 있다: 제 1 작업(측면 크러스트): 2-3lpm(liters per minute) H2; 32sccm CO2; 100sccm CF4; 15sccm NF3. 제 2 작업(상면 크러스트): 2-3lpm H2; 32sccm CO2; 0sccm CF4; 50sccm NF3. 반응 장치의 크기 및 구성, 웨이퍼 크기, 도즈 시간에 따라, 기재된 유량은 비례 조절(scaling up or down)될 수 있다. NF3만 이용하는 특정 경우에서, 허용될 수 있는 수준의 실리콘 손실을 위해, 상면 크러스트가 제거되지만, 측면 크러스트는 제거되지 않는다. 또한, NF3 + CF4를 이용하는 특정 경우에서, 허용될 수 있는 수준의 실리콘 손실을 위해, 측면 크러스트는 제거되지만 상면 크러스트는 제거되지 않는다. 따라서 앞서 설명된 2단계 공정을 이용함으로써, 측면 크러스트와 상면 크러스트가 모두 제거될 수 있다.
도 4는 다양한 실시예에 따라 포토레지스트와 크러스트를 제거하기 위한 공정 흐름(400)을 설명한다. 먼저, 동작(401)에서, 웨이퍼는, 터짐 현상(popping)을 방지하기에 충분히 낮은, 그러나 허용될만한 에칭률을 제공하기에 충분히 높은 온도까지로 선-가열된다. 다양한 실시예에 따르면, 이는 200C 내지 400C일 수 있으며, 특히 240C 내지 350C, 가령 285C일 수 있다. 작업(403)에서, 수소, 이산화탄소, 니트로겐 트리플루오라이드 및 카본 테트라플루오라이드(H2/CO2/NF3/CF4)로부터 발생되는 플라스마에 웨이퍼가 노출된다. 플라스마를 발생하는 과정은, (가령, 미리 혼합되어 있을 수도 있고, 그렇지 않을 수도 있는) 구성 기체들(component gas)을 플라스마 공급원으로 도입시키는 과정을 포함하는 것이 일반적이다. 본 발명에 따라, 다양한 타입의 플라스마 공급원이 사용될 수 있으며, 가령, RF, DC 및 마이크로파 기반 플라스마 공급원이 있다. 특정 실시예에서, 플라스마는 원격 플라스마 공급원이지만, 인-시추(즉, 박리 챔버 내에 위치하는) 플라스마 공급원일 수도 있다. 이 제 1 작업은 실리콘 손실로부터 표면을 보호하면서 측면 크러스트와 벌크 포토레지스트를 제거할 수 있다. 그 후, 작업(405)에서, CF4 흐름이 끊기고, 수소, 이산화탄소 및 니트로겐 트리플루오라이드(H2/CO2/NF3) 만으로부터 발생된 플라스마에 웨이퍼가 노출된다. 이 작업은 상면 크러스트 잔류물을 제거한다. 부산물(도면상 나타나지 않음)을 제거한 후, 공정이 작업(407)에서 종료되고, 세정된 웨이퍼가 이동될 수 있다.
특정 실시예에서, CF4를 끊는 것에 추가로, 또는 이를 대신하여, 필요에 따라, 유량을 증가 또는 감소시킴으로써, CF4/NF3 비를 변화시킬 수 있다. 예를 들어, 특정 실시예에서, 벌크 포토레지스트 및/또는 측면 크러스트를 제거하기 위해 CF4와 NF3의 조합이 이용된다. 쉽게 제거되지 않는 잔류물의 추가적인 제거를 제공하기 위해, 공정 중 다양한 포인트에서 NF3이 첨가된다. NF3의 첨가는 CF4 흐름을 감소시키거나 우회시키는 것을 포함할 수도 있고, 포함하지 않을 수도 있다. 도 5는 다양한 실시예에 따르는 이러한 프로세스(500)의 일례를 도시한다. 이전 예에 따르면, 작업(501)에서 웨이퍼는 먼저 선-가열된다. 그 후, 작업(503)에서, 웨이퍼가 H2/CO2/NF3/CF4로부터 발생된 플라스마에 노출되고, 이때 NF3이 첨가된다. 이 작업은 실리콘 손실에 대해 보호하면서 크러스트를 제거할 수 있다. 특정 실시예에서, 상면 크러스트와 측면 크러스트 모두 제거될 수 있다. 그 후, 작업(505)에서, NF3 유량이 감소되고, 벌크 포토레지스트를 제거하기 위해, 웨이퍼가 H2/CO2/NF3/CF4로부터 발생된 플라스마에 노출된다. 작업(507)에서, CF4 흐름이 끊기고, 웨이퍼가 H2/CO2/NF3으로부터 발생된 플라스마에 노출된다. 이 작업 동안, 선택사항으로서, NF이 첨가된다. 이 작업은 임의의 남아 있는 잔류물을 완전히 제거하기 위한 과다 박리 작업일 수 있다. 과다 박리는 박리 공정을, 모든 포토레지스트를 제거하기 위해 명목적으로 요구되는 포인트를 지나서까지 계속하는 것을 일컬으며, 이미 세정된 표면으로부터 물질을 박리하는 과정을 포함할 수 있다. 부산물(도면상 나타나지 않음)을 제거한 후, 공정이 작업(509)에서 종료되고, 세정된 웨이퍼가 이동될 수 있다.
나타나다시피, 특정 후-주입 포토레지스트와 웨이퍼(또는 그 밖의 다른 작업 부재) 상에 형성된 잔류물에 따라, NF3의 첨가는 공정 중 여러 단계에서 수행될 수 있다. 예를 들어, 난해한 상면 크러스트 제거를 용이하게 만들기 위해 제거 공정의 시작 부분에서 NF3이 첨가될 수 있다. 터짐 현상이 발생할 가능성을 막기 위해, 공정의 시작 부분에서 상면 크러스트가 제거될 수 있다. 그 후, CF4와 조합되는 더 낮은 NF3 유량을 이용하여 벌크 포토레지스트 제거가 수행될 수 있다. 특정 실시예에서, 스트링거(stringer) 제거를 촉진시키기 위해 벌크 포토레지스트의 제거 후 NF3이 첨가된다. 스트링거는, 2개의 인접한 노출 영역 사이에서 노출되지 않음으로써 남겨질 수 있는 길고 좁은 포토레지스트 잔류물 조각이다.
특정 실시예에서, 벌크 포토레지스트의 일부 또는 전부의 제거 동안 NF3과 CF4 비(ratio)는 "기저(base)" 비이도록 간주될 수 있으며, 첨가량이 이 비와 관련되어 측정된다. 따라서 예를 들면, 벌크 포토레지스트 제거 동안 NF3:CF4를 "기저" 비이도록 취하면, 다양한 공정 시퀀스에 따라, 벌크 포토레지스트 제거 전 및/또는 후에 상기 비가 증가될 수 있다. 일례에서, 기저 비가 3:20(가령, 15sccm NF3, 100sccm CF4)이며, 이때, 상기 비를 1:2(50sccm NF3, 100sccm CF4)로 증가시키는 첨가가 이뤄지거나, CF4가 존재하지 않는 경우 무한대가 된다. 임의의 특정 프로세스를 위해 사용되는 실제 유량 및 비는 달라질 수 있다. 그러나 상대 유량 및 비를 변화시킴으로써, 도 3a 및 3b에 나타난 효과를 이용하여 제거 공정이 제어될 수 있다. 특정 실시예에서, 하나 이상의 작업에 대해 CF4만 제공되고 NF3이 제공되지 않을 수 있지만, 많은 실시예에서, CF4에 의해, 적정한 보호가 제공됨으로써, 공정이 NF3의 더 높은 제거율(removal rate)을 이용할 수 있는 것으로 발견되었다. 다양한 실시예에 따르면, 1:50-1:2의 기저 비가 사용될 수 있으며, 이때 첨가량이 기저 비보다 높다. 특정 실시예에서, NF3 첨가는 NF3:CF4의 비를 적어도 2배가 되도록 한다.
앞서 설명된 바와 같이, 특정 실시예에서, CO2 토출 기체(CO2 bleed gas)가 사용되며, H2와 함께 항상 흐른다. 300㎜ 웨이퍼에 있어서, 10-15lpm의 H2(스테이션당 2-3 lpm)를 이용하여, 약 100sccm 내지 300sccm CO2(스테이션당 20 내지 60sccm)을 흘림으로써, 이 범위 밖보다 더 적은 실리콘 손실을 야기함이 발견되었다. 이는 도 6에서 도시된다. 도 6에서 유량은 5개의 스테이션 챔버에 걸친 총 CO2를 반영하며, 스테이션당 웨이퍼는 20sccm 내지 60sccm의, 더 구체적으로는 32sccm의 CO2를 본다.
공정 매개변수
유입 기체
통상 분자 수소를 포함하는 수소-함유 기체가 플라스마 공급원으로 도입된다. 상기 플라스마 공급원으로 도입되는 기체는, 이온화되어 플라스마 공급원에서 플라스마를 형성할, 또는 그 밖의 다른 방식으로 플라스마를 형성할 화학적으로 활성인 종을 함유한다. 플라스마 공급원으로 도입되는 기체는 불소-함유 기체(가령, 불소 원소), 니트로겐 트리플루오라이드 및 설퍼 헥사플루오라이드를 포함한다. 플라스마로 도입되는 기체는 보호성 기체(일반적으로, 탄소-함유 보호성 기체)를 포함한다. 특정 실시예에서, 보호성 기체는 플루오로카본 기체, 가령, 카본 테트라플루오라이드(C2F6), 또는 하이드로플루오로카본을 포함한다.
특정 실시예에서, 플라스마 공급원으로 도입되는 기체는 약 0.1부피% 내지 약 3부피%의 카본 테트라플루오라이드와, 0.3부피% 내지 2부피%의 니트로겐 트리플루오라이드를 포함한다. 플라스마 공급원으로 도입되는 기체는 약 산화제(가령, 이산화탄소, 일산화탄소, 이산화질소, 일산화질소 및/또는 물)를 포함할 수 있다. 특정 실시예에서, 약 산화제는 이산화탄소이다. 다양한 실시예에 따르면, 유입 기체는 약 1 내지 99 부피 퍼센트, 약 80 내지 99.9 부피 퍼센트, 또는 약 95 부피 퍼센트의 분자 수소와, 약 0 내지 25 부피 퍼센트 CO2(또는 그 밖의 다른 약 산화제)와, 약 0.1 내지 3 부피 퍼센트 질소 트리플루오라이드(또는 그 밖의 다른 탄소-불함유 불소-함유 화합물)와, 약 0.1 내지 6 부피 퍼센트의 카본 테트라플루오라이드(또는 그 밖의 다른 보호성 화합물)을 포함할 수 있다.
특정 실시예에서, 플라스마 공급원으로 유입되는 기체는 본질적으로 분자 수소와, 이산화 탄소(또는 그 밖의 다른 약 산화제)와, 탄소-불함유 불소-함유 화합물과, 보호성 화합물로 구성된다. 공정 시퀀스 중 하나 이상의 작업에서 보호성 기체 흐름이 차단되는 특정 실시예에서, 플라스마 공급원으로 유입되는 기체는, 본질적으로, 분자 수소와, 이산화 탄소(또는 그 밖의 다른 약 산화제)와, 탄소-불함유 불소-함유 화합물로 구성된다. 또 다른 실시예에서, 추가적인 하나 이상의 기체가 공정 기체에 추가될 수 있다. 예를 들어, 비활성 기체가 추가될 수 있다.
플라스마 공급원으로 도입되는 기체는 미리 혼합되거나, 부분적으로 혼합되거나, 혼합되지 않을 수 있다. 개별 기체 공급원들은, 플라스마 공급원으로 도입되기 전에, 혼합 플레넘(mixing plenum)으로 흐를 수 있다. 다른 실시예에서, 서로 다른 기체들이 플라스마 공급원으로 따로 따로 들어갈 수 있다. 플라스마 공급원으로 도입되는 기체는, 멀티스테이션 챔버의 여러 다른 반응 스테이션에서 사용될 때, 여러 다른 조성을 가질 수 있다. 예를 들어, 6-스테이션 챔버에서, 스테이션 1(또는 스테이션 1이 선-가열을 위해 사용 중일 때, 스테이션 2) 또는 스테이션 6이, 비교적 많은 양의 NF3 기체를 포함하는 공정 기체를 이용하여, 각각, 크러스트 또는 잔류물을 제거할 수 있다. 나머지 스테이션들 중 하나 이상이, 보호성 기체를 거의, 또는 전혀 포함하지 않는 공정 기체를 이용할 수 있다. 어떠한 이산화탄소 또는 약 산화제도 포함하지 않는 공정 기체도 사용될 수 있다.
약 산화제를 포함하는 수소 기반 플라스마를 이용하여 포토레지스트 및 에칭 물질을 박리하는 방법은 미국 특허 제7,288,484호에 기재되어 있으며, 상기 미국 특허는 본원에서 참조로서 인용된다. 포토레지스트 및 에칭 잔류물을 박리하는 후-HDI(post-HDI) 방법이 미국 특허 제2009-0053901호에 기재되어 있고, 상기 미국 특허는 본원에서 참조로서 인용된다.
플라스마 발생
본 발명에 따라 다양한 타입의 플라스마 공급원이 사용될 수 있으며, 가령, RF, DC 및 마이크로파 기반 플라스마 소스가 있다. 바람직한 일실시예에서, 다운스트림 RF 플라스마 공급원이 사용된다. 통상, 300㎜ 웨이퍼에 대한 RF 플라스마 파워는 약 300와트(Watt) 내지 약 10킬로와트(KiloWatt)이다. 일부 실시예에서, RF 플라스마 파워는 약 2000와트 내지 5000와트, 가령, 3500W이다.
샤워헤드 조립체
본 발명의 다양한 실시예에 따르면, 플라스마 기체는 샤워헤드 조립체를 통해 작업 표면으로 분사된다. 상기 샤워헤드 조립체는 접지되거나, 웨이퍼로의 중성자 종(neutral species)의 흐름에 영향을 미치지 않으면서, 일부 전하 종(charge species)을 끌어당기기 위한 인가 전압(가령, 0 내지 1000와트 바이어스)을 가질 수 있다. 플라스마 내 전기적으로 대전된 종들 중 다수는 샤워헤드에서 재결합된다. 상기 샤워헤드 조립체는, 플라스마와 비활성 기체 혼합물을 반응 챔버로 전달하기 위한 구멍을 갖는 금속 판일 수 있는 샤워헤드를 포함한다. 상기 샤워헤드는 활성 수소를 플라스마 공급원으로부터 더 넓은 영역에 재분배하며, 이로써, 더 작은 플라스마 소스를 사용하는 것이 가능해진다. 샤워헤드 구멍의 개수와 배열은 박리 속도 및 박리 속도 균일도를 최적화하도록 설정될 수 있다. 플라스마 공급원이, 웨이퍼 위, 중앙에 위치하는 경우, 샤워헤드 구멍은 샤워헤드의 중앙에서 더 작으며, 더 적게 존재함으로써, 활성 기체를 외부 영역(outer region) 쪽으로 보내는 것이 바람직하다. 샤워헤드는 100개 이상의 구멍을 가질 수 있다. 적합한 샤워헤드는, Novellus Systems, Inc.(소재지: San Jose, CA)의 Gamma xPR 샤워헤드 또는 GxT 드롭-인 샤워헤드를 포함한다. 샤워헤드 조립체가 없는 실시예에서, 플라스마가 공정 챔버로 직접 들어간다.
공정 챔버
상기 공정 챔버는 박리 작업이 수행되기 위한 임의의 적합한 반응 챔버일 수 있다. 공정 챔버는 복수의 챔버를 갖는 장치의 하나의 챔버이거나, 단순히 단일 챔버 장치일 수 있다. 또한 챔버는, 여러 다른 웨이퍼가 동시에 처리되는 복수의 스테이션을 포함할 수도 있다. 공정 챔버는, 주입, 에칭, 또는 그 밖의 다른 레지스트-매개적 공정(resist-mediated process)이 발생하는 챔버와 동일한 챔버일 수 있다. 또 다른 실시예에서, 별도의 챔버가 박리용으로 예약된다. 공정 챔버 압력은 약 600mTorr 내지 2Torr일 수 있다. 특정 실시예에서, 공정 챔버 압력은 약 0.9Torr 내지 1.5Torr이다.
공정 챔버는 박리 작업이 수행되는 하나 이상의 공정 스테이션을 포함한다. 특정 실시예에서, 하나 이상의 공정 스테이션이 선-가열 스테이션(preheat station), 하나 이상의 박리 스테이션 및 과다-애쉬(over-ash) 스테이션을 포함한다. 웨이퍼 지지대는 공정 동안 웨이퍼를 지지하도록 구성된다. 웨이퍼 지지대는 또한, 공정 동안 웨이퍼와 열을 교환하여, 웨이퍼 온도를 필요에 따라 조절할 수 있다. 특정 실시예에서, 웨이퍼는 복수의 최소 접촉부에 의해 지지되며, 웨이퍼 지지 표면 평면에 물리적으로 접촉하지 않는다. 스핀들(spindle)이 웨이퍼를 집고(pick up), 웨이퍼를 하나의 스테이션에서 다른 스테이션으로 전달한다.
도 8은 웨이퍼 상에서 본 발명을 실시하기에 적합한 하향 플라스마 장치(800)의 양태를 도시한다. 장치(800)는, 샤워헤드 조립체(817)에 의해 나뉘는 플라스마 생산 부분(811)과 노출 챔버(exposure chamber, 801)을 가진다. 노출 챔버(801) 내부에서, 웨이퍼(803)가 플래튼(또는 스테이지)(805) 상에 놓인다. 플래튼(805)에 가열/냉각 요소가 끼워 맞춰진다. 일부 실시예에서, 또한 플래튼(805)은 웨이퍼(803)를 기울이도록 구성될 수도 있다. 진공 펌프를 이용해 도관(807)을 통해 노출 챔버(401)에서 낮은 압력 상태가 이뤄진다. (희석/운반 기체를 포함하거나, 포함하지 않는) 기체 수소 및 이산화탄소(또는 그 밖의 다른 약 산화제)의 공급원이, 투입구(809)를 통해, 장치의 플라스마 생성 부분(811)으로의 기체 흐름을 제공한다. 플라스마 생성 부분(811)이, 전원(815)으로 연결되어 있는 인덕션 코일(813)에 의해 부분적으로 둘러싸인다. 작업 동안, 기체 혼합물이 플라스마 생성 부분(811)으로 도입되고, 인덕션 코일(813)은 여기 상태(energized)가 되고, 플라스마 생성 부분(811)에서 플라스마가 발생된다. 노출 챔버(810)로 종의 흐름을 보내기 위해, 샤워헤드 조립체(817)는 인가된 전압을 갖거나 접지될 수 있다. 앞서 언급된 바와 같이, 웨이퍼(803)는 온도 제어될 수 있거나, RF 바이어스가 인가될 수 있다(또는 온도 제어와 RF 바이어스 인가 모두 이뤄질 수 있다). 플라스마 공급원(811)과 인덕션 코일(813)의 다양한 구성과 기하학적 형태가 사용될 수 있다. 예를 들어, 인덕션 코일(813)이 서로 엮인 패턴(interlaced pattern)으로 플라스마 공급원(811) 둘레를 감을 수 있다. 또 다른 일례에서, 플라스마 공급원(811)의 형태는, 원통형이 아닌 돔(dome)형일 수 있다. 제어기(850)가 공정 챔버의 구성요소로 연결되어, 박리 작업의 공정 기체 조성, 압력, 온도 및 웨이퍼 인덱싱을 제어할 수 있다. 기계 판독형 매체가 제어기로 연결되어 있으며, 이들 작업을 위한 공정 조건을 제어하기 위한 명령(instruction)을 포함할 수 있다.
적합한 플라스마 챔버 및 시스템으로는 Novellus Systems, Inc.(소재지: 캘리포니아, 산호세)에서 제공하는 Gamma 2100, 2130 I2CP(Interlaced Inductively Coupled Plasma), G400 및 GxT가 있다. 그 밖의 다른 시스템으로는, Axcelis Technologies, Inc.(소재지: 메릴랜드, 록빌)의 Fusion line, PSK Tech Inc.(한국)의 TERA21, Mattson Technology Inc.(소재지: 캘리포니아, 프리몬트)의 Aspen이 있다. 덧붙여, 다양한 박리 챔버가 클러스터 툴 상으로 설정될 수 있다. 예를 들어, 박리 챔버가 Applied Materials(소재지: 캘리포니아, 산타 클라라)의 Centura 클러스터 툴에 추가될 수 있다.
작업 부재
바람직한 실시예에서, 본 발명의 방법 및 장치에 따라 사용되는 작업 부재는 반도체 웨이퍼이다. 임의의 크기 웨이퍼가 사용될 수 있다. 가장 최근의 웨이퍼 제조 설비는 200㎜ 또는 300㎜ 웨이퍼를 이용한다. 앞서 언급된 바와 같이, 본원에서 기재된 공정과 장치는, 에칭, 이온 주입 또는 증착 등의 공정 작업 후에, 포토레지스트를 박리한다. 본 발명은 매우 작은 특징부 또는 임계 크기(critical dimension)(가령, 100㎚ 이하, 65㎚, 또는 45㎚ 이하)를 갖는 웨이퍼에 적합하다. 본원에서 기재된 HDIS의 저 실리콘 손실은 강화된 로직 소자의 매우 얕은 접합부에 특히 적합하다. 또한 본 발명은 FEOL(front end of the line) 이온 주입(특히, 고농도 이온 주입)을 받는 웨이퍼에 적합하다.
플라스마에 의해 활성화되는 종(plasma-activated species)은 웨이퍼 상의 포토레지스트 및 스퍼터 잔류물과 반응한다. 웨이퍼에서, 반응성 기체가 복수의 플라스마에 의해 활성화되는 종, 비활성 기체, 라디칼, 대전된 종(charged species) 및 기체 부산물을 포함할 수 있다. 다양한 수소 종의 체적 농도는 웨이퍼에 있는 기체의 약 20-80%일 수 있다. 다양한 불소 종의 체적 농도는 0.01% 내지 약 2%, 또는 1% 미만일 수 있다. 약 산화제로부터의 다양한 종의 체적 농도는 0.05 내지 약 5%, 또는 약 1.2%일 수 있다. 이들 종은 H2 *, H2 +, H+, H*, e-, OH, O*, CO, CO2, H2O, HF, F*, F-, CF, CF2 및 CF3을 포함할 수 있다.
웨이퍼 크기에 따라 공정 조건이 달라질 수 있다. 본 발명의 일부 실시예에서, 플라스마를 작업 부재 표면으로 가하는 동안, 상기 작업 부재를 특정 온도로 유지하는 것이 바람직하다. 웨이퍼 온도는 약 섭씨 110도 내지 약 섭씨 500도일 수 있다. 앞서 설명된 바 있는 포토레지스트 터짐 현상(popping)의 가능성을 낮추기 위해, 충분한 크러스트가 제거되며, 포토레지스트 터짐 현상이 문제가 되지 않을 때까지, 웨이퍼 온도는 천천히 증가하는 것이 바람직하다. 초기 스테이션 온도는 약 섭씨 110도 내지 약 섭씨 260도일 수 있으며, 예컨대, 약 섭씨 240도일 수 있다. 나중 스테이션은, 바람직한 박리 속도와 함께 더 높은 온도, 가령, 섭씨 285도, 약 섭씨 350도를 성공적으로 이용할 수 있다. 특정 실시예에서, NF3 첨가(spike) 동안 온도가 증가되어, 이들 첨가와 관계된 Si 손실을 감소시킬 수 있다.
예시 공정
앞서 설명된 바와 같이, 특정 실시예에서, 본원에서 기재된 포토레지스트 및 잔류물 박리 공정을 수행하기 위해 다중-스테이션 박리 장치가 사용된다. 도 7은 스테이션(1, 2, 3, 4, 5 및 6)을 포함하는 이러한 장치의 평면도를 단순화시켜 도시한다. 웨이퍼가 챔버(701)를 통해 스테이션(1)에서 장치로 들어가고, 각각의 스테이션에서의 공정 작업을 위해 각각의 스테이션으로 차례대로 이동되고, 공정이 완료된 후 챔버(702)를 통해 스테이션(6)에서 빠져나온다. 이러한 구조에 의해, 저 실리콘 손실과 TiN 금속 게이트 호환성을 갖는, 수소 기반 잔류물 없는 고농도 주입 박리 프로세스가 가능해진다.
예시 공정 1
Figure 112011039995304-pct00001
위 공정은 스테이션 6에서의 NF3 첨가를 포함하는 공정 시퀀스의 일례이다.
예시 공정 2
Figure 112011039995304-pct00002
위 공정은 크러스트 제거 동안 스테이션 2에서의 노출 시간의 절반 동안 NF3 첨가를 포함하는 공정 시퀀스의 일례이다. 예를 들면, 웨이퍼가 스테이션에 18초 동안 있을 수 있으며, 이때 NF3은 9초 동안 첨가된다.
예시 공정 3
Figure 112011039995304-pct00003
위 공정은, 가령, 크러스트 제거를 보조하기 위해 CF4가 스테이션 2의 노출 시간 동안 일정 포인트에서 차단되는 공정의 일례이다.
예시 공정 4
Figure 112011039995304-pct00004
위 공정 시퀀스는, 상대적 NF3 및 CF4 유량을 수정함으로써 박리가 제어될 수 있는 방식에 대한 예를 제공한다.
본 발명이 몇 가지 바람직한 실시예의 관점에서 기재되었지만, 기재된 세부사항에 의해 한정되는 것은 아니다. 앞서 설명된 바람직한 실시예의 수 많은 변형이 이용될 수 있다. 따라서 본 발명은 다음의 청구범위를 참고하여 넓게 해석되어야 할 것이다.

Claims (25)

  1. 반응 챔버에서 작업 부재 표면으로부터 물질을 제거하기 위한 방법에 있어서, 상기 방법은
    분자 수소, 탄소-불함유 불소-함유 기체(non-carbon-containing fluorine-containg gas) 및 보호성 화합물을 포함하는 공정 기체 혼합물로부터 제 1 플라스마를 형성하는 단계로서, 여기서 상기 탄소-불함유 불소-함유 기체와 상기 보호성 화합물은 제 1 체적 흐름 비로 제공되는 특징의, 제 1 플라스마 형성 단계와,
    작업 부재 표면을 상기 제 1 플라스마에 노출시킴으로써, 작업 부재 표면으로부터 물질의 제 1 부분을 제거하는 단계와,
    제 2 플라스마를 형성하기 위해, 상기 탄소-불함유 불소-함유 기체와 상기 보호성 화합물의 체적 흐름 비를 변경하는 단계와,
    작업 부재 표면을 상기 제 2 플라스마에 노출시킴으로써, 작업 부재 표면에서 물질의 제 2 부분을 제거하는 단계
    를 포함하는 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  2. 제 1 항에 있어서, 상기 보호성 화합물은 질소-함유 화합물인 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  3. 제 1 항에 있어서, 상기 보호성 화합물은 플루오로카본 보호성 화합물인 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  4. 제 3 항에 있어서, 상기 플루오로카본 보호성 화합물은 CF4, C2F6, CHF3, CH2F2, C3F8 중 하나임을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  5. 제 4 항에 있어서, 상기 플루오로카본 보호성 화합물은 CF4임을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 상기 탄소-불함유 불소-함유 기체는 NF3, F2, HF 및 SF6 중 하나인 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  7. 제 6 항에 있어서, 탄소-불함유 불소-함유 기체는 NF3인 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  8. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 상기 작업 부재 표면으로부터 제거되는 물질은 고농도 주입(high-dose implant)된 레지스트를 포함하는 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  9. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 상기 탄소-불함유 불소-함유 기체와 상기 보호성 화합물의 제 1 체적 흐름 비는 1:20 내지 1:5이고,
    체적 흐름 비를 변경하는 단계는 비를 1:4 내지 1:2로 변경하는 단계를 포함하는 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  10. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 탄소-불함유 불소-함유 기체와 보호성 화합물의 제 1 체적 흐름 비는 1:20 내지 1:5인 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  11. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 제 2 플라스마를 형성하기 위해, 탄소-불함유 불소-함유 기체와 보호성 화합물의 체적 흐름 비를 변경하는 단계는, 보호성 화합물의 흐름을 차단하는 단계를 포함하는 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  12. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 공정 기체 혼합물은 이산화탄소를 더 포함하는 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  13. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서, 고농도 주입된 레지스트의 제거 후, 작업 부재에 잔류물이 없으며, 상기 작업 부재의 실리콘 표면에서 2옹스트롬 미만의 실리콘이 손실되는 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  14. 제 13 항에 있어서, 고농도 주입된 레지스트의 제거 후, 작업 부재에 잔류물이 없으며, 상기 작업 부재의 실리콘 표면에서 1옹스트롬 미만의 실리콘이 손실되는 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  15. 반응 챔버에서 작업 부재 표면으로부터 물질을 제거하기 위한 방법에 있어서, 상기 방법은
    분자 수소, 탄소-불함유 불소-함유 기체 및 보호성 화합물을 포함하는 공정 기체 혼합물로부터 제 1 플라스마를 형성하는 단계와,
    작업 부재 표면을 상기 제 1 플라스마에 노출시킴으로써, 작업 부재 표면에서 물질의 제 1 부분을 제거하고, 이와 동시에, 작업 부재의 실리콘-함유 표면 상에 보호성 층을 형성하는 단계
    를 포함하는 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  16. 제 15 항에 있어서, 상기 보호성 화합물은 질소-함유 화합물인 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  17. 제 15 항에 있어서, 상기 보호성 화합물은 플루오로카본 보호성 화합물인 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  18. 제 17 항에 있어서, 상기 플루오로카본 보호성 화합물은 CF4, C2F6, CHF3, CH2F2 및 C3F8 중 하나인 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  19. 제 15 항 내지 제 18 항 중 어느 한 항에 있어서, 탄소-불함유 불소-함유 기체는 NF3, F2, HF 및 SF6 중 하나인 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  20. 제 15 항에 있어서, 상기 보호성 화합물은 CF4이고, 탄소-불함유 불소-함유 기체는 NF3인 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  21. 제 15 항 내지 제 18 항 중 어느 한 항에 있어서, 공정 기체 혼합물은 이산화탄소를 더 포함하는 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  22. 제 15 항 내지 제 18 항 중 어느 한 항에 있어서, 고농도 주입된 레지스트의 제거 후, 작업 부재에 잔류물이 없으며, 상기 작업 부재의 실리콘 표면에서 2옹스트롬 미만의 실리콘이 손실되는 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  23. 제 22 항에 있어서, 고농도 주입된 레지스트의 제거 후, 작업 부재에 잔류물이 없으며, 상기 작업 부재의 실리콘 표면에서 1옹스트롬 미만의 실리콘이 손실되는 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 방법.
  24. 반응 챔버에서 작업 부재 표면으로부터 고농도 주입된 레지스트를 제거하기 위한 방법에 있어서, 상기 방법은
    물질의 제 1 부분을 제거하는 단계와,
    물질의 제 2 부분을 제거하는 단계
    를 포함하며, 상기 물질의 제 1 부분을 제거하는 단계는,
    분자 수소, 약산화제(weak oxidizing agent), 탄소-불함유 불소-함유 기체 및 보호성 기체를 포함하는 제 1 기체를 플라스마 공급원으로 도입하는 단계와,
    상기 플라스마 공급원으로 도입된 제 1 기체로부터 제 1 플라스마를 생성하는 단계와,
    작업 부재를 제 1 플라스마에 노출시켜 상기 물질의 제 1 부분을 제거하는 단계
    를 포함하고, 상기 물질의 제 2 부분을 제거하는 단계는,
    분자 수소, 약산화제 및 탄소-불함유 불소-함유 기체를 포함하고, 보호성 기체는 불포함하는 제 2 기체를 플라스마 공급원으로 도입하는 단계와,
    상기 플라스마 공급원으로 도입된 제 2 기체로부터 제 2 플라스마를 생성하는 단계와,
    상기 작업 부재를 제 2 플라스마에 노출시켜 상기 물질의 제 2 부분을 제거하는 단계
    를 포함하는 것을 특징으로 하는 작업 부재 표면으로부터 고농도 주입된 레지스트를 제거하기 위한 방법.
  25. 작업 부재 표면으로부터 물질을 제거하기 위한 장치에 있어서, 상기 장치는 반응 챔버를 포함하며, 상기 반응 챔버는,
    플라스마 소스와,
    플라스마 소스의 하향(downstream)에 배치되는 샤워헤드와,
    상기 샤워헤드의 하향에 위치하는 작업 부재 지지대로서, 지지하는 작업 부재의 온도를 제어하기 위한 페데스털(pedestal)겸 온도-제어 수단을 포함하는 특징의, 상기 작업 부재 지지대와,
    명령(instruction)들의 세트를 실행하기 위한 제어기
    를 포함하고, 상기 명령들의 세트는,
    분자 수소, 탄소-불함유 불소-함유 기체(non-carbon-containing fluorine-containg gas) 및 보호성 화합물을 포함하는 공정 기체 혼합물로부터 제 1 플라스마를 형성하기 위한 명령으로서, 여기서 상기 탄소-불함유 불소-함유 기체와 상기 보호성 화합물은 제 1 체적 흐름 비로 제공되는 특징의, 제 1 플라스마 형성 명령과,
    작업 부재 표면을 상기 제 1 플라스마에 노출시킴으로써, 작업 부재 표면으로부터 물질의 제 1 부분을 제거하는 명령과,
    제 2 플라스마를 형성하기 위해, 상기 탄소-불함유 불소-함유 기체와 상기 보호성 화합물의 체적 흐름 비를 변경하는 명령과,
    작업 부재 표면을 상기 제 2 플라스마에 노출시킴으로써, 작업 부재 표면에서 물질의 제 2 부분을 제거하는 명령
    을 포함하는 것을 특징으로 하는 작업 부재 표면으로부터 물질을 제거하기 위한 장치.
KR1020117012215A 2009-12-11 2010-12-08 초저실리콘 손실 고농도 주입 박리 KR101226411B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/636,582 2009-12-11
US12/636,582 US20110143548A1 (en) 2009-12-11 2009-12-11 Ultra low silicon loss high dose implant strip
PCT/US2010/059388 WO2011071980A2 (en) 2009-12-11 2010-12-08 Ultra low silicon loss high dose implant strip

Publications (2)

Publication Number Publication Date
KR20110100196A KR20110100196A (ko) 2011-09-09
KR101226411B1 true KR101226411B1 (ko) 2013-01-24

Family

ID=44143417

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117012215A KR101226411B1 (ko) 2009-12-11 2010-12-08 초저실리콘 손실 고농도 주입 박리

Country Status (7)

Country Link
US (2) US20110143548A1 (ko)
JP (1) JP5888652B2 (ko)
KR (1) KR101226411B1 (ko)
CN (1) CN102870198B (ko)
SG (1) SG171962A1 (ko)
TW (1) TWI559363B (ko)
WO (1) WO2011071980A2 (ko)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US8916477B2 (en) * 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US8932406B2 (en) * 2012-09-04 2015-01-13 Matheson Tri-Gas, Inc. In-situ generation of the molecular etcher carbonyl fluoride or any of its variants and its use
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
KR102138729B1 (ko) * 2012-10-30 2020-07-28 도쿄엘렉트론가부시키가이샤 에칭 처리 방법 및 기판 처리 장치
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
KR102148833B1 (ko) * 2016-02-26 2020-08-28 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 주입형 포토레지스트 스트리핑 공정
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10872761B2 (en) * 2018-06-25 2020-12-22 Mattson Technology Inc. Post etch defluorination process
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
CN111341657A (zh) * 2018-12-19 2020-06-26 夏泰鑫半导体(青岛)有限公司 等离子体处理方法
US11342194B2 (en) * 2019-11-25 2022-05-24 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020132486A1 (en) 2001-01-18 2002-09-19 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US20040248414A1 (en) 2003-02-04 2004-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20090053901A1 (en) 2004-12-13 2009-02-26 Novellus Systems Inc. High dose implantation strip (hdis) in h2 base chemistry

Family Cites Families (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201579A (en) * 1978-06-05 1980-05-06 Motorola, Inc. Method for removing photoresist by hydrogen plasma
US4357203A (en) 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH0777211B2 (ja) 1987-08-19 1995-08-16 富士通株式会社 アッシング方法
JPH0770524B2 (ja) 1987-08-19 1995-07-31 富士通株式会社 半導体装置の製造方法
US4961820A (en) 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
US5354386A (en) 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
US5122225A (en) * 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
JPH05275326A (ja) 1992-03-30 1993-10-22 Sumitomo Metal Ind Ltd レジストのアッシング方法
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
JPH06208972A (ja) * 1993-01-12 1994-07-26 Matsushita Electric Ind Co Ltd プラズマ処理方法
US5522932A (en) * 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
EP0664347A3 (en) * 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5900351A (en) * 1995-01-17 1999-05-04 International Business Machines Corporation Method for stripping photoresist
JP2956524B2 (ja) * 1995-04-24 1999-10-04 日本電気株式会社 エッチング方法
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
US5817406A (en) 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
JPH0936099A (ja) * 1995-07-19 1997-02-07 Toshiba Corp ドライエッチング方法
JP3585591B2 (ja) * 1995-07-29 2004-11-04 株式会社半導体エネルギー研究所 エッチング装置及びエッチング方法
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US5707485A (en) * 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
JPH09205130A (ja) 1996-01-17 1997-08-05 Applied Materials Inc ウェハ支持装置
US6013574A (en) * 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US5651860A (en) 1996-03-06 1997-07-29 Micron Technology, Inc. Ion-implanted resist removal method
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5814155A (en) 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6127262A (en) * 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6129091A (en) 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5830775A (en) 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US5811358A (en) * 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH1187307A (ja) 1997-09-05 1999-03-30 Sony Corp レジストの除去方法及びその除去装置
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6536449B1 (en) * 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
EP0940846A1 (en) 1998-03-06 1999-09-08 Interuniversitair Micro-Elektronica Centrum Vzw Method for stripping ion implanted photoresist layer
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5980770A (en) 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6086952A (en) 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6342446B1 (en) * 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6107184A (en) 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6121091A (en) 1999-01-19 2000-09-19 Taiwan Semiconductor Manufacturing Company Reduction of a hot carrier effect phenomena via use of transient enhanced diffusion processes
JP3728165B2 (ja) * 1999-01-28 2005-12-21 キヤノン株式会社 イオン注入されたホトレジストの残渣の処理方法及び半導体装置の製造方法
US6417080B1 (en) 1999-01-28 2002-07-09 Canon Kabushiki Kaisha Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
US6130166A (en) 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6709715B1 (en) * 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6177347B1 (en) * 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6767698B2 (en) 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20050022839A1 (en) 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US20010027023A1 (en) 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
JP2001308078A (ja) 2000-02-15 2001-11-02 Canon Inc 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム
US6184134B1 (en) * 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6667244B1 (en) 2000-03-24 2003-12-23 Gerald M. Cox Method for etching sidewall polymer and other residues from the surface of semiconductor devices
US6409932B2 (en) 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
JP4470274B2 (ja) * 2000-04-26 2010-06-02 東京エレクトロン株式会社 熱処理装置
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6426304B1 (en) 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
DE10051380C2 (de) * 2000-10-17 2002-11-28 Advanced Micro Devices Inc Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
US6569257B1 (en) * 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6319842B1 (en) 2001-01-02 2001-11-20 Novellus Systems Incorporated Method of cleansing vias in semiconductor wafer having metal conductive layer
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
CN1322556C (zh) * 2001-02-15 2007-06-20 东京毅力科创株式会社 被处理件的处理方法及处理装置
US7186648B1 (en) * 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6723654B2 (en) 2001-03-30 2004-04-20 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6875702B2 (en) 2001-06-11 2005-04-05 Lsi Logic Corporation Plasma treatment system
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US20030036284A1 (en) * 2001-08-16 2003-02-20 Yu-Ren Chou Method for removing the photoresist layer of ion-implanting process
US6872652B2 (en) * 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4838464B2 (ja) 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
JP5038567B2 (ja) 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US6680164B2 (en) * 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP4326746B2 (ja) 2002-01-07 2009-09-09 東京エレクトロン株式会社 プラズマ処理方法
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6656832B1 (en) 2002-07-25 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd Plasma treatment method for fabricating microelectronic fabrication having formed therein conductor layer with enhanced electrical properties
US7833957B2 (en) 2002-08-22 2010-11-16 Daikin Industries, Ltd. Removing solution
US6900135B2 (en) * 2002-08-27 2005-05-31 Applied Materials, Inc. Buffer station for wafer backside cleaning and inspection
US6777173B2 (en) 2002-08-30 2004-08-17 Lam Research Corporation H2O vapor as a processing gas for crust, resist, and residue removal for post ion implant resist strip
US6693043B1 (en) * 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
JP2004152136A (ja) * 2002-10-31 2004-05-27 Matsushita Electric Ind Co Ltd データ更新システム、データ更新システムの差分データ生成装置及びプログラム、並びに更新後ファイル復元装置及びプログラム
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
KR100476136B1 (ko) 2002-12-02 2005-03-10 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면처리장치
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
KR20060038925A (ko) * 2003-05-07 2006-05-04 액셀리스 테크놀러지스, 인크. 광역온도범위의 척 시스템
US20040237997A1 (en) 2003-05-27 2004-12-02 Applied Materials, Inc. ; Method for removal of residue from a substrate
KR100542031B1 (ko) 2003-05-30 2006-01-11 피에스케이 주식회사 반도체 제조공정에서의 포토레지스트 제거방법
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7799685B2 (en) 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US6924239B2 (en) 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
US20050097923A1 (en) * 2003-11-12 2005-05-12 General Electric Company System and support rod assembly for sintering fiber optic sleeve tubes
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
US20050158667A1 (en) 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
JP2005268312A (ja) 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
CN1914714B (zh) 2004-03-31 2011-09-28 富士通半导体股份有限公司 基板处理装置及半导体装置的制造方法
US7628864B2 (en) 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7632756B2 (en) * 2004-08-26 2009-12-15 Applied Materials, Inc. Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
JP2006073612A (ja) 2004-08-31 2006-03-16 Rohm Co Ltd レジスト除去方法
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060051965A1 (en) 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7169623B2 (en) 2004-09-09 2007-01-30 Tegal Corporation System and method for processing a wafer including stop-on-aluminum processing
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR100607777B1 (ko) * 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
DE102004063036A1 (de) 2004-12-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden von Kontaktflecken
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7344993B2 (en) * 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US7268071B2 (en) 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
JP2006203035A (ja) 2005-01-21 2006-08-03 Tokyo Electron Ltd プラズマエッチング方法
US7432172B2 (en) * 2005-01-21 2008-10-07 Tokyo Electron Limited Plasma etching method
JP2006221772A (ja) 2005-02-14 2006-08-24 Fuji Photo Film Co Ltd ディスク状情報媒体の製造方法
US7198677B2 (en) 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US8129281B1 (en) * 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP2006351594A (ja) 2005-06-13 2006-12-28 Toshiba Ceramics Co Ltd 半導体ウェーハの電気特性の測定方法
JP2007019367A (ja) 2005-07-11 2007-01-25 Ricoh Co Ltd 半導体装置の製造方法
JP5011852B2 (ja) 2005-07-20 2012-08-29 富士通セミコンダクター株式会社 電子デバイスの製造方法
US7411298B2 (en) * 2005-08-17 2008-08-12 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices
US7468326B2 (en) 2005-08-24 2008-12-23 United Microelectronics Corp. Method of cleaning a wafer
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
JP2007109744A (ja) 2005-10-11 2007-04-26 Tokuyama Corp 基板洗浄液
KR100742279B1 (ko) 2005-12-22 2007-07-24 삼성전자주식회사 반도체 소자의 제조 장치 및 방법
KR20070069802A (ko) 2005-12-28 2007-07-03 엘지.필립스 엘시디 주식회사 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7851369B2 (en) * 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
US8124516B2 (en) 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
US7740768B1 (en) * 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7595005B2 (en) 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
DE102006062035B4 (de) 2006-12-29 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement
US8083963B2 (en) 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5332052B2 (ja) 2007-06-01 2013-11-06 シャープ株式会社 レジスト除去方法、半導体製造方法、及びレジスト除去装置
KR101440282B1 (ko) * 2007-07-11 2014-09-17 주성엔지니어링(주) 플라즈마 세정 방법
US20090061623A1 (en) * 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
JP5102653B2 (ja) 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US20090277871A1 (en) 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US20090277472A1 (en) 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
US8791001B2 (en) * 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US20120024314A1 (en) 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
WO2011008436A2 (en) * 2009-07-13 2011-01-20 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
TWI559501B (zh) 2009-08-07 2016-11-21 半導體能源研究所股份有限公司 半導體裝置和其製造方法
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US8415212B2 (en) 2010-03-11 2013-04-09 Freescale Semiconductor, Inc. Method of enhancing photoresist adhesion to rare earth oxides
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9613825B2 (en) * 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020132486A1 (en) 2001-01-18 2002-09-19 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US20040248414A1 (en) 2003-02-04 2004-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20090053901A1 (en) 2004-12-13 2009-02-26 Novellus Systems Inc. High dose implantation strip (hdis) in h2 base chemistry

Also Published As

Publication number Publication date
TW201137936A (en) 2011-11-01
KR20110100196A (ko) 2011-09-09
JP2013513946A (ja) 2013-04-22
US20150332933A1 (en) 2015-11-19
WO2011071980A2 (en) 2011-06-16
WO2011071980A3 (en) 2011-09-01
CN102870198A (zh) 2013-01-09
SG171962A1 (en) 2011-07-28
US20110143548A1 (en) 2011-06-16
TWI559363B (zh) 2016-11-21
US9564344B2 (en) 2017-02-07
CN102870198B (zh) 2017-05-31
JP5888652B2 (ja) 2016-03-22

Similar Documents

Publication Publication Date Title
KR101226411B1 (ko) 초저실리콘 손실 고농도 주입 박리
US9941108B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
KR101770008B1 (ko) 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
JP6598420B2 (ja) 改良されたデバイスインテグリティのためのフォトレジスト剥離プロセス
TWI423323B (zh) 光阻剝離室及蝕刻基材上光阻之方法
US7799685B2 (en) System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
JP5586077B2 (ja) 水素ベースの化学反応による高用量注入後の剥離(hdis)
KR20030022272A (ko) 반도체 구조에서 텅스텐 또는 텅스텐 질화물 전극게이트를 에칭하는 방법
US20050054209A1 (en) Plasma treatment method to reduce silicon erosion over HDI silicon regions
US20070269975A1 (en) System and method for removal of photoresist and stop layer following contact dielectric etch
JPH0513379A (ja) ドライエツチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160108

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170111

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180105

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190108

Year of fee payment: 7