JP2013513946A - シリコン損失を非常に低く抑えた高ドーズインプラントストリップ - Google Patents

シリコン損失を非常に低く抑えた高ドーズインプラントストリップ Download PDF

Info

Publication number
JP2013513946A
JP2013513946A JP2012543229A JP2012543229A JP2013513946A JP 2013513946 A JP2013513946 A JP 2013513946A JP 2012543229 A JP2012543229 A JP 2012543229A JP 2012543229 A JP2012543229 A JP 2012543229A JP 2013513946 A JP2013513946 A JP 2013513946A
Authority
JP
Japan
Prior art keywords
workpiece
gas
plasma
fluorine
protective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012543229A
Other languages
English (en)
Other versions
JP2013513946A5 (ja
JP5888652B2 (ja
Inventor
チャン、デーヴィッド
ファング、ハオクアン
クオ、ジャック
カリノフスキ、イリア
リー、テッド
ヤオ、アンドリュー
グーア、アニルバン
オストロウスキ、キルク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2013513946A publication Critical patent/JP2013513946A/ja
Publication of JP2013513946A5 publication Critical patent/JP2013513946A5/ja
Application granted granted Critical
Publication of JP5888652B2 publication Critical patent/JP5888652B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【解決手段】
ワークピースの表面から、フォトレジストをストリッピングし、イオン注入処理に関連する残留物を除去する方法を改善する。さまざまな実施形態によると、水素原子、フッ素含有ガスおよび保護用のガスを利用してプラズマを生成する。プラズマで活性化されたガスは、高ドーズ注入処理されたレジストと反応して、クラスト層およびバルクレジスト層の両方を除去すると同時に、ワークピース表面の露出部分を保護する。シリコン損失を低く抑えつつ、ワークピース表面の残留物を略無くすことができる。
【選択図】 図4

Description

本発明は、フォトレジスト材料を除去またはストリッピング(剥離)して、ワークピースの表面から関連する残留物を除去する方法および装置に関する。具体的な実施形態によると、本願は、イオン注入処理またはプラズマ支援ドープ注入処理(低ドーズまたは高ドーズで注入処理されたレジスト)の後にレジストをストリッピングする方法および装置に関する。
[関連出願]
本願は、米国特許出願第12/636,582号(出願日:2009年12月11日)による優先権を主張する。当該特許出願は、参照により本願に組み込まれる。
フォトレジストは、半導体ウェハ等のワークピース上にパターニングされたコーティングを形成するための一部の製造プロセスで利用される感光性材料である。フォトレジストでコーティングされた表面に所定パターンで高エネルギーを照射した後、フォトレジストの一部分を除去して、その下方にある表面を露出させる一方、表面の残りの部分は保護されたままとする。エッチング、成膜およびイオン注入等の半導体プロセスを、被覆していない表面および残りのフォトレジストに対して実行する。1以上の半導体プロセスを実行した後、ストリッピング処理で残りのフォトレジストを除去する。
イオン注入処理では、ドーパントイオン、例えば、ホウ素、ホウ素ジフルオリド、インジウム、ガリウム、タリウム、リン、ヒ素、アンチモン、ビスマスまたはゲルマニウムのイオンをワークピースターゲットに対して加速する。イオンは、ワークピースの露出領域および残っているフォトレジスト表面に注入される。このプロセスによって、ウェル領域(ソース/ドレイン)およびLDD(Lightly Doped Drain)領域およびDDD(Doubled Diffused Drain)領域が形成されるとしてよい。イオン注入処理では、レジストに、注入種を含侵させて、水素表面を空乏化させる。レジストの外側層またはクラストは、その下方のバルクレジスト層よりもはるかに高密度である炭化層を形成している。外側層およびバルクレジスト層は、熱膨張率が異なり、ストリッピング処理に対する反応速度が異なる。
外側層とバルク層との間の相違は、高ドーズイオン注入後のレジストにおいて、非常に顕著である。高ドーズ注入では、イオンドーズ量が、1×1015イオン/cmよりも多くなるとしてよく、エネルギーは10keVから100keVを超えることもあるとしてよい。従来の高ドーズインプラントストリップ(HDIS)処理は、酸素の化学特性を利用し、単原子酸素プラズマが処理チャンバから離れて形成され、ワークピース表面に対して方向付けられる。反応性の酸素は、フォトレジストと結合して、真空ポンプによって除去されるガス状副産物を形成する。HDISの場合、注入されたドーパントを酸素で除去するためには、他の気体が必要となる。
HDISで主に考慮される点としては、ストリッピング速度、残留物の量、露出している膜の層および下方の膜の層の膜損失が挙げられる。残留物は、HDISおよびストリッピング処理の後に基板表面上に存在するのが普通である。残留物は、高エネルギー注入処理時のスパッタリング、不完全なクラストの除去、および/または、レジスト内に注入された原子の酸化に起因して発生するとしてよい。ストリッピング処理の後、収率を高め、さらに残留物を除去する処理を行う必要がないように、表面には残留物が無い状態または残留物がほぼ無い状態となる必要がある。残留物は、オーバーストリップ、つまり、フォトレジストをすべて除去するために通常必要となる程度を超えてもストリッピング処理を継続することによって、除去されるとしてよい。残念なことに、従来のHDIS処理では、オーバーストリップは、その下方にある機能部であるデバイス構造の一部を除去してしまうことがある。デバイス層では、トランジスタのソース/ドレイン領域からシリコンが非常にわずかでも失われてしまうと、デバイス性能および収率に悪影響が出てしまうことがある。特に、設計ルールが32nm未満で製造される超浅接合デバイスでは大きな問題となる。
このため、フォトレジストおよびイオン注入処理、特に、HDISに関する残留物をストリッピングする方法および装置を改善して、シリコン損失を最小限に抑え、ストリッピング速度を許容可能なレベルに維持しつつも残留物をほとんどまたはまったく残さないようにすることが必要である。
フォトレジストをストリッピングしてイオン注入処理に関する残留物をワークピースの表面から除去する方法を改善する。さまざまな実施形態によると、水素元素、フッ素含有ガスおよび保護ガスを用いてプラズマを生成する。プラズマで活性化されたガスは、高ドーズ注入処理されたレジストと反応して、クラスト層およびバルクレジスト層の両方を除去すると同時に、ワークピースの表面の露出部分を保護する。ワークピースの表面は、シリコン損失を低く抑えつつ、残留物が略無い状態となる。
本発明の上記およびその他の特徴および利点は、添付図面を参照しつつより詳細に後述する。
イオン注入処理およびストリッピング処理の前後における半導体デバイス製造プロセスのある段階を示す図である。 イオン注入処理およびストリッピング処理の前後における半導体デバイス製造プロセスのある段階を示す図である。 イオン注入処理およびストリッピング処理の前後における半導体デバイス製造プロセスのある段階を示す図である。 イオン注入処理およびストリッピング処理の前後における半導体デバイス製造プロセスのある段階を示す図である。 半導体デバイスが金属ゲートを含む実施形態において、イオン注入処理およびストリッピング処理の前後の半導体デバイス製造プロセスのある段階を示す図である。 半導体デバイスが金属ゲートを含む実施形態において、イオン注入処理およびストリッピング処理の前後の半導体デバイス製造プロセスのある段階を示す図である。 半導体デバイスが金属ゲートを含む実施形態において、イオン注入処理およびストリッピング処理の前後の半導体デバイス製造プロセスのある段階を示す図である。 半導体デバイスが金属ゲートを含む実施形態において、イオン注入処理およびストリッピング処理の前後の半導体デバイス製造プロセスのある段階を示す図である。 NFの流量およびCFの流量の関数として残留する残留物を示す。 NFの流量およびCFの流量の関数としてシリコン損失を示す。 本発明の特定の実施形態に係るさまざまな処理を示すフローチャートである。 本発明の特定の実施形態に係るさまざまな処理を示すフローチャートである。 COの流量の関数としてシリコン損失を示す図である。 本発明の側面を実現するのに適しているマルチステーション順次方式を示す図である。 本発明の側面を実現するのに適している装置を示す概略図である。
<序論>
以下に記載する本発明の詳細な説明では、本発明を深く理解していただくべく具体的な実施形態を数多く記載する。しかし、当業者には自明であろうが、本発明は、以下に記載するような具体的且つ詳細な内容を採用することなく実施され得るものであり、別の構成要素または処理を利用しても実施し得るものである。また、公知の処理、手順および構成要素は、本発明の側面を不要にあいまいにすること避けるべく、詳細な説明を省略している。
本願では、「ワークピース」、「半導体ウェハ」、「ウェハ」および「製造途中の集積回路」といった用語は、同様の意味を持つものとして用いられる。当業者であれば、「製造途中の集積回路」という用語は集積回路製造プロセスの多くの段階のうち任意の段階が行われている間のシリコンウェハを意味するものと理解されたい。以下に記載する詳細な説明は、本発明がウェハ上で実施されるものと仮定している。しかし、本発明はこれに限定されない。ワークピースは、さまざまな形状、サイズおよび材料であるとしてよい。半導体ウェハ以外に本発明を活用し得る他のワークピースとしては、ディスプレイ、プリント配線基板等のさまざまな物品が挙げられる。
前述したように、本発明に係る方法および装置は、高ドーズイオン注入処理の後にフォトレジスト材料を効率的且つ効果的に除去するために用いられるとしてよい。本発明は、高ドーズインプラントストリップ(HDIS)に限定されない。本発明はまた、注入されるドーパントの種類について、特定のものに限定されるものではない。例えば、本明細書に記載する方法および装置は、中ドーズまたは低ドーズの注入処理の後に行われるストリッピング処理でも有用性があるとしてよい。ホウ素、ヒ素およびリン等、具体的なドーパントイオンについて説明するが、本明細書に記載する方法および装置は、他のドーパント、例えば、窒素、酸素、炭素、ゲルマニウムおよびアルミニウムを含侵させたレジストをストリッピングする処理でも有用であるとしてよい。
本発明に係る方法および装置は、水素を含むガスから生成されるプラズマを利用する。特定の実施形態によると、このガスはさらに、弱い酸化剤、フッ素含有ガスおよびCF等の保護ガスを含有する。当業者であれば、プラズマ内に存在する実際の種は、水素、弱い酸化剤、フッ素含有ガスおよび保護ガスに由来する複数の異なるイオン、ラジカルおよび分子の混合物であると理解するであろう。尚、プラズマが有機フォトレジストおよびその他の残留物と反応して有機フォトレジストおよびその他の残留物を分解したりすることによって、他の種も反応チャンバ内には存在するものと考えられたい。例えば、少量の炭化水素、二酸化炭素、水蒸気およびその他の揮発性成分が存在すると考えられたい。当業者であれば、プラズマ内に導入される最初の1以上のガスは、プラズマ内に存在する1以上のガスとは異なることが普通であるとともに、ストリッピング処理中は1以上のガスがワークピース表面に接触していることを認めるであろう。
図1Aから図1Dは、半導体製造プロセスのうちイオン注入処理およびストリッピング処理の前後のさまざまな段階を示す図である。図1Aは、フォトレジスト材料103でコーティングされている半導体基板101を示す図である。基板101は、成膜された膜、例えば、酸化膜、シリサイドコンタクト、および/またはポリシリコン膜等の層を1以上含むとしてよい。または、例えば、シリコン・オン・インシュレータ型の基板を含むベアシリコン基板であってよい。最初に、フォトレジスト材料で基板表面を全面コーティングする。この後、フォトレジストに、マスクを介して形成される所定パターンの照射を行い、現像して、フォトレジストの一部を除去して、残ったフォトレジスト材料103部分の間に図1Aに示す開口104等を形成する。
この後、基板をイオン注入処理に暴露する。イオン注入処理中、ワークピースまたはウェハの表面にドーパントイオンを注入する。このプロセスは、例えば、プラズマ侵入イオン注入法(PIII)またはイオンビーム注入法であるとしてよい。イオンを、露出したシリコン層101およびフォトレジスト103を含む、基板表面に衝突させる。高エネルギーでイオン注入を行うと、下方に位置する材料107のうち少量がスパッタリングされてフォトレジストの側壁に堆積するとしてよい。図1Bを参照されたい。これは、注入種のうち一部、プラズマまたはイオンビーム内の他の材料、および、注入処理の副産物を含むとしてよい。シリコン、アルミニウム、炭素、フッ素、チタン、コバルト等の他のコンタクト材料、および、単体または化合物として酸素を含む。実際には、イオン注入前の基板の組成、フォトレジスト、および、注入種に応じて決まる。
露出しているシリコン層101において、ドープ領域109が形成される。イオンエネルギーまたは衝突強度によって、ドープ領域の深さまたは厚みが決まる。イオン束の密度によって、どの程度ドープされるかが決まる。
イオンはさらに、フォトレジスト表面に浸漬されて、クラスト層105を形成する。クラスト層105は、炭化されており、高度に架橋されたポリマー鎖であるとしてよい。クラストは大抵、水素を含まず、注入種で浸漬されている。クラスト層105は、バルクレジスト層103よりも高密度である。相対密度は、イオン束に応じて決まる一方、クラスト層の厚みは、イオンエネルギーに応じて決まる。
このクラスト層105は、その下方に位置しているバルクフォトレジスト103よりも、ストリッピング処理が困難である。クラスト層の除去速度は、その下方のバルクフォトレジストの除去速度より、50%または75%遅い場合がある。バルクフォトレジストは、化学結合した窒素のレベルが比較的高く、原材料の流涎溶剤の一部を含む。ウェハ温度が上昇して、例えば、摂氏150度から摂氏200度を超えると、バルクレジストは、ガスを放出してクラスト層に対し膨張する。こうして、下方のバルクフォトレジストがクラストの下方で圧力を蓄積すると、フォトレジスト全体が「ポップする(飛び出す)」。フォトレジストポップは、残留物はウェハ表面およびチャンバ内部からの洗浄が特に困難であるので、粒子および処理欠陥の原因である。高ドーズイオン注入の場合、クラストとその下方のバルクフォトレジスト層との間の密度の違いはさらに大きくなる。また、クラストは厚みが大きい。
図1Cは、フォトレジスト103および側壁のスパッタリング残留物107を完全に除去できなかった、ストリッピング処理後の基板を示す図である。側壁のスパッタリング残留物107は、従来のストリッピング処理用化学反応では揮発性化合物を形成しない粒子を含むとしてよい。このような粒子は、従来のストリッピング処理の後でも残ってしまう場合がある。残留物はさらに、従来のストリッピング処理用化学反応で利用された反応性の酸素によって形成されている注入種の酸化物、例えば、酸化ホウ素および酸化ヒ素を含むとしてよい。クラスト105の一部も、基板上に残る場合がある。クラストの側壁および角部分は、フォトレジストビアの底部にある部分が、構造上ストリッピングが困難であるとしてよい。
このような残留物の粒子は、場合によってはフッ素化された化学物質を用いてオーバーストリップすることによって、または、ウェハを湿式洗浄することによって除去される場合もある。従来の酸素をベースとした化学反応でのオーバーストリップは、望ましくないシリコン酸化を発生させてしまうが、酸化ホウ素および酸化ヒ素の残留物が存在する場合、除去できないことが分かっている。本発明に応じて生成されるプラズマ内のフッ素化された化合物を利用して、揮発性のフッ化ホウ素およびフッ化ヒ素を形成可能なフッ素ラジカルを生成する。これによって、残留物の除去が容易化されるが、その下方のシリコンおよび酸化シリコンを基板からエッチングしてしまう。本発明の実施形態に係る特定のストリッピング用のフッ素化された化学物質に利用することによって、この問題を解決に導く。
シリコン損失は、レジストの厚み、クラストの厚みおよびオーバーストリップ割合によって決まる。除去するレジストの厚みを大きくするべくストリッピング処理の時間を長くして強度を高くすると、除去するシリコンの量が増える。クラストの厚みが大きいレジストでは、クラスト層とバルクレジスト層との間の違いがさらに顕著になる。クラストの側壁および角部分の厚みが大きくなると、ストリッピング処理がより困難になる。このため、厚いクラストを除去するように調整されたストリッピング処理は、除去するシリコンの量が多くなる傾向にある。オーバーストリップにより、残留物の除去に加えてレジストの均一性および構造に関する問題に対処するとしてよい。オーバーストリップは、フォトレジストを全て除去するために名目上必要な程度を超えてもストリッピング処理を継続する処理である。ウェハの一部の領域ではフォトレジストが完全に除去されたが他の領域には残っている場合、ストリッピング処理を継続すると、通常はシリコンおよび酸化シリコンといった材料がさらに、既にストリッピングが完了した領域から除去されてしまう。オーバーストリップは通常、約100%である。
図1Dは、全ての残留物を除去した後の基板を示す図である。さまざまな実施形態によると、シリコン損失または酸化を引き起こすことなく、遅延を最小限に抑えて残留物を除去する。特定の実施形態によると、ストリッピング処理の後残留物が残らないので、処理工程の数が少なくなる。
図2Aから図2Dは、半導体デバイスが金属ゲートを含む特定の実施形態について、イオン注入処理およびストリッピング処理の前後の半導体デバイス製造プロセスのさまざまな段階を示す図である。図2Aは、イオン注入処理の前の金属ゲート積層体210およびパターニングされたフォトレジスト203を半導体基板201上に備える製造途中デバイスを示す図である。尚、図2Aでは、パターニングされたフォトレジスト203は、金属ゲート積層体210の視界の一部を遮っている。特定の実施形態によると、基板201は、シリコン・オン・インシュレータ基板である。シャロー・トレンチ・アイソレーション(STI)領域205は、基板201に埋設されており、一般的には酸化シリコン等の絶縁材料が充填されているトレンチである。図2Bは、注入処理中のデバイスの様子を示す図であり、イオンビーム束214および再スパッタリング束216を示す。再スパッタリング束216は、基板材料(Si、STIおよびSiN)を側壁上に堆積させる。注入処理後、図2Cに示すように、バルクフォトレジスト203の上部(215a)および側壁(215b)にクラスト215が形成される。上部クラスト215aおよび側方クラスト215bは、イオン注入ビーム束214の角度および再スパッタリング束216から側壁への堆積のために、注入処理時の環境が異なるとしてよい。本明細書で説明する処理を利用すると、図2Dに示すように、バルクフォトレジスト203およびクラスト215aおよび215bが除去され、金属ゲート210が無傷で残り、基板201およびSTI領域205の表面からの表面材料の損失は最小限に抑えられている。
本明細書で説明する方法によると、シリコン損失およびゲート積層体への損傷を最小限に抑えつつ、フォトレジストおよび残留物を除去する。さまざまな実施形態によると、金属ゲート積層体は、窒化チタン(TiN)、Ta、TaNまたはWのうち1以上を含むとしてよい。High−kゲート誘電体、例えば、酸化ハフニウム、酸化ジルコニウムおよび酸化チタンを基板と金属ゲートとの間に成膜するとしてよい。金属ゲートは、ポリシリコンゲートとは異なり、従来の酸素系のストリッピング用化学物質とは相性が悪い。また、従来の酸素系化学物質は、シリコン損失が多い。
本発明の一の側面は、シリコン損失を制限しつつ、高ドーズ注入処理されたレジストおよび残留物を除去するための新しいストリッピング用化学物質に関する。さまざまな実施形態によると、フォトレジストおよび残留物は、水素分子、弱い酸化剤、フッ素含有化合物、および、保護用化合物から生成されるプラズマに暴露される。本明細書で開示する処理は、シリコン損失を最小限に抑えつつ、残留物をほとんど残さないストリッピング処理を実現し、金属ゲートと相性が良い。特定の理論または反応メカニズムに制限されるものではないが、プラズマ内のフッ素ラジカルが、フッ素ラジカルとして残留するのではなく、処理ガス中の水素と結合して、フッ化水素(HF)が形成されると考えられている。シリコン損失は少なくなると考えられる。この理由の1つとして、保護用化合物が表面シリコンと反応して保護用の重合膜、炭化物、窒化物またはその他の非酸化物の保護層が形成され、当該保護層は、HF中の酸化物に比べてエッチング速度が低いことが挙げられる。
<処理用の化学物質>
上述したように、ストリッピング処理は、さまざまな成分ガスを含むガスからプラズマを生成することを含む。本明細書で説明するストリッピング用化学物質は、酸素ではなく水素をベースとする。水素分子(H)は、プラズマ生成ガスの主要成分であり、1,000−40,000sccm、例えば、1,000−6,000sccmのHがバックグラウンドで流れている。その際、プラズマ生成ガスの他の成分の流量は、例えば、少なくとも1ケタである。さまざまな実施形態によると、他の成分ガスは、フッ素含有化合物および保護用化合物を含む。多くの実施形態によると、二酸化炭素またはその他の弱い酸化剤が含まれているが、特定の実施形態では含まれていない。
弱い酸化剤の例を挙げると、二酸化炭素(CO)、一酸化炭素(CO)等の酸化炭素、亜酸化窒素(NO)、一酸化窒素(NO)、二酸化窒素(NO)等の酸化窒素、および、酸化硫黄(SO)および二酸化硫黄(SO)等の酸化硫黄が挙げられる。弱い酸化物の例を他にも挙げると、炭化水素(C)および水(HO)を含む酸素がある。特定の実施形態によると、弱い酸化剤は、炭素含有化合物である。特定の実施形態によると、二酸化炭素は、安価で、安全で、有効性が高いので、弱い酸化剤として用いられる。
フッ素含有ガスは、三フッ化窒素(NF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)、テトラフルオロメタン(CF)、トリフルオロメタン(CHF)、ジフルオロメタン(CH)、オクトフルオロプロパン(C)、オクトフルオロシクロブタン(C)、オクトフルオロ[1−]ブタン(C)、オクトフルオロ[2−]ブタン(C)、オクトフルオロイソブチレン(C)、フッ素(F)等であってよい。特定の実施形態によると、フッ素含有ガスは、NF、SF、FまたはHFの蒸気である。後述するように、これらのガスはCF等の特定の炭素含有エッチング剤よりも良好なストリッピング用ガスであることが分かっている。特定の実施形態によると、フッ素含有化合物は、炭素を含まない化合物である。特定の実施形態によると、NFは、フッ素含有ガスとして用いられる。上述したように、ストリッピング時のチャンバ内の主なエッチング剤成分はHF蒸気であると考えられる。したがって、特定の実施形態によると、容易にHF蒸気に変換される任意のフッ素含有ガスを利用するとしてよい。
保護用化合物は通常、炭素含有化合物であるが、特定の実施形態では、窒素含有化合物であってもよい。特定の実施形態によると、保護用ガスは、フッ素含有化合物であってよい。例えば、特定の実施形態によると、保護用化合物はCFである。尚、処理で用いられる化学物質は通常、フッ素含有化合物(例えば、NF)および保護用化合物(CF)の両方を含むことに留意されたい。これらの化合物は、別箇の化合物である。つまり、保護用化合物はフッ素を含むとしても、別箇のフッ素含有化合物が共に供給される。特定の実施形態によると、フッ素含有化合物は、保護用化合物よりはるかに強力なエッチング剤である。特定の実施形態によると、保護用化合物は、炭素含有化合物であり、一例を挙げるとCFおよびCHがある。特定の実施形態によると、保護用化合物は窒素含有化合物である。特定の理論またはメカニズムに限定されるものではないが、保護用化合物は、シリコン/酸化シリコンの表面と反応するか、または、シリコン/酸化シリコンの表面と反応する反応性の種を供給して、例えば、エッチングに対する耐性がより高い炭化物および窒化物を形成すると考えられている。例えば、HFに含まれる窒化物のエッチング速度は、酸化物のエッチング速度に比べると、約50分の1のオーダであり、炭化物のエッチング速度は、窒化物のエッチング速度に比べると、少なくとも約1ケタ遅い。特定の実施形態によると、酸素含有化合物は、強い酸化剤でない限り、保護用化合物として利用されるとしてよい。
特定の実施形態によると、ストリッピング用の化学物質は、H/CO/NF/CFであり、相対的な体積比率の一例を挙げると、100/0.1−1/0.5−4/2−5である。比率の一例を挙げると、3000/32/15/100である。ガスの総流量、相対的に決まる弱い酸化剤の量、フッ素含有ガス、保護ガスおよびストリッピング用のチャンバ内のその他の条件は、特に、プラズマの種類(下流か直接か)、RF電力、チャンバ圧、基板(ウェハ)のサイズ、ならびに、利用する弱い酸化剤、フッ素含有ガスおよび保護ガスの種類に応じて変動し得る。ノベルス(Novellus)社のGamma(商標)システムにおいて、300mmのウェハの場合、ガスの総流量の範囲は約1,000sccmと約40,000sccmとの間であり、二酸化炭素の流量は約1sccmと約400sccmとの間であり、RF電力の範囲は、約300ワットと約5000ワットの間であるのが好ましい。チャンバ圧の範囲は通常、約300mTorrと約2Torrとの間であり、例えば、約800mTorrと約1.6Torrとの間である。
さらに後述しているように、特定の実施形態によると、フッ素含有ガスおよび保護ガスの比率は、特定の一連のプロセスにおいて、フォトレジストおよび残留物を完全に除去するとともに、シリコン損失を低く抑えるべく、変動させる。また、さらに後述するように、二酸化炭素またはその他の弱い酸化剤は、シリコン損失を抑制するべく制御する。
<一連のプロセス>
特定の実施形態によると、高ドーズ注入処理されたクラストおよび残留物を除去するのに有効な一連のプロセスが実施される。特定の実施形態によると、一連のプロセスには、フッ素含有ガスおよび保護ガスの比率を変更して必要な除去処理を実行することが含まれる。特定の実施形態によると、一連のプロセスは、フッ素含有ガスおよび保護ガスを含むガスから生成したプラズマにウェハを暴露する処理の後に、フッ素含有ガスのみから生成したプラズマにウェハを暴露する処理を実行することを含む。特定の実施形態によると、これらの処理は順序を逆にするとしてもよい。
図3Aおよび図3Bが示す実験結果によると、フッ素含有ガス(NF)および保護ガス(CF)を用いることによって残留物およびシリコン損失が抑制されることが分かる。図3Aは、残留物の値を示している。同図からは、NFおよびCFの流量(他の化合物は一定の流量のままである)の関数として残留物の量が決まることが分かる。流量が増加すると、フッ素の量が増加するので、残留物は減少する。尚、保護ガスがフッ素含有化合物でない実施形態では、保護ガスの曲線は平坦になることに留意されたい。図3Bは、NFおよびCFの流量の関数として、シリコン損失が変動することを示している。NFの流量が増加するとシリコン損失が増加する。これは、プラズマに含まれる活性化されたフッ素種および/またはフッ素含有化合物によって表面がエッチングされるためである。しかし、図3Bに示すように、NFの流量を60sccm等で一定にすると、CFの流量が増加するにつれて、シリコン損失が減少する。CF応答の傾きは、NFの流量に応じて大きくなるか小さくなるかが決まり、比率を正しくすることの重要性が分かる。上述したように、CFの流量を高くするとシリコン損失が減少するのは(フッ素を増加させた場合に期待される通常の現象とは逆)基板表面に炭素含有保護膜が形成されるためと考えられている。この保護膜は、炭素種とシリコン表面材料とが重合反応することによって形成されるとしてよい。フッ素含有保護ガスを利用することによって、保護ガスは(フッ素含有ガスと組み合わせられて)残留物を除去すると同時に保護効果を奏することが可能となる。
(例えば、H/CO/NFプロセスにおいて)NFのみが用いられる場合、残留物は全て除去されるが、シリコン損失が許容不可能なレベルまで高くなってしまう。(例えば、H/CO/CFプロセスにおいて)CFのみが用いられる場合、除去プロセスは大幅に低速化され、完全に除去できない場合がある。さまざまな実施形態によると、当該プロセスは、NFおよびCFを組み合わせて利用する処理を少なくとも1つ含む。例えば、一実施形態によると、高ドーズ注入処理後(ポストHDI)ウェハをストリッピング用チャンバ内に載置するとしてよい。ウェハを予熱後、H/CO/CF/NFガスをチャンバ内に導入して、プラズマを当てる。当該ウェハは、クラスト、バルクフォトレジストおよびその他の残留物を洗浄するために十分な時間にわたってプラズマに暴露する。
特定の実施形態によると、一連のプロセスは、NF(またはその他のフッ素含有ガス)およびCF(またはその他の保護ガス)の相対的な量を変化させる。レジストの種類に応じて、注入するイオンおよび注入時のパラメータ、さまざまなプロセス群を利用するとしてよい。以下で、バルクフォトレジスト、クラストおよびスパッタリング残留物の一部を除去するための一連のプロセスまたはその一部を、注入処理後に形成されるさまざまなフォトレジストおよび残留物をストリッピングするための一連のプロセスの例と共に説明する。説明を簡略化するべく、以下の説明ではNFおよびCFを説明するが、これらの構成ガスとして他のフッ素含有ガスおよび保護ガスを利用し得るものと理解されたい。特定の実施形態によると、側方クラストおよび上部クラストを除去するべく2段階プロセスを利用する。当該プロセスでは、NF+CFの後にNFのみを利用する。(全てのストリッピング処理においてHおよび任意でCOをバックグラウンドガスとして流す。)2段階プロセスを用いて、側方クラスト(NF+CF)を除去した後、上部クラスト(NFのみ)を除去する。NFのみを利用する処理では、NFの流量が増加するフッ素「スパイク」または「フッ素「バースト」が2回以上発生するとしてよい。例えば、各ステーションの流量を以下に示す。
第1の処理(側方クラスト):H 毎分2−3リットル(lpm)、CO 32sccm、CF 100sccm、NF 15sccm
第2の処理(上部クラスト):H 2−3lpm、CO 32sccm、CF 0sccm、NF 50sccm
尚、上述した流量は反応器、ウェハサイズおよびドーズ時間のサイズおよび設定に応じて増減され得ることに留意されたい。NFのみを利用する場合には、シリコン損失を許容範囲に収めるべく、上部クラストを除去するが側方クラストは除去しない場合もあることが分かっている。また、NF+CFを利用する場合には、シリコン損失を許容範囲に収めるべく、側方クラストを除去するが上部クラストは除去しない場合があることも分かっている。したがって、上述した2段階プロセスを採用することによって、側方クラストおよび上部クラストの両方を除去することが可能となる。
図4は、さまざまな実施形態に係るフォトレジストおよびクラストを除去する処理フロー400を示す図である。最初に処理401において、ポップが発生しないように十分に低く、且つ、エッチングレートが許容可能な水準に到達するのに十分高い温度までウェハを予熱する。さまざまな実施形態によると、この温度は摂氏200度から摂氏400度の間の温度であるとしてよく、より具体的には摂氏240度から摂氏350度の間である。例えば、摂氏285度である。処理403において、水素、二酸化炭素、三フッ化窒素および四フッ化炭素(H/CO/NF/CF)から生成されるプラズマにウェハを暴露する。プラズマの生成は一般的に、複数の成分ガス(事前に混合させているとしてもよいし、そうでないとしてもよい)をプラズマ源に導入することを含む。本発明ではさまざまな種類のプラズマ源を利用するとしてよい。例えば、RFプラズマ源、DCプラズマ源、および、マイクロ波プラズマ源を利用するとしてよい。特定の実施形態によると、プラズマは離れたプラズマ源で生成されるが、インサイチュで(つまり、ストリッピングチャンバ内で)生成されるとしてもよい。最初に行われるこの処理は、シリコン損失が発生しないように表面を保護しつつ、側方クラストおよびバルクフォトレジストを除去するとしてよい。そして、処理405において、CFの流量を停止させて、水素、二酸化炭素および三フッ化窒素(H/CO/NF)のもいから生成されるプラズマにウェハを暴露する。この処理によって、上部クラスト残留物が除去される。副産物(不図示)を除去した後、当該プロセスは処理407において終了して、洗浄後のウェハを取り出すとしてよい。
特定の実施形態によると、CFの停止に加えて、または、CFの停止に代えて、CF/NFの比率を、流量を増減させることによって、適宜変更するとしてよい。例えば、特定の実施形態によると、CFおよびNFを組み合わせて利用して、バルクフォトレジストおよび/または側方クラストを除去する。プロセス中に数回NFを急激に増加させて(NFのスパイクを発生させて)、容易に除去されない残留物について除去処理を追加で実行する。NFを急激に増加させること(NFのスパイク)は、CFの流れを減少または変更することを含むとしてもよいし、含まないとしてもよい。図5は、さまざまな実施形態に係るこのようなプロセス500の一例を示す図である。上記の例と同様に、最初に処理501においてウェハを予熱する。この後、処理503において、H/CO/NF/CFから生成されるプラズマに、何度かNFを急激に増加させつつ、ウェハを暴露する。この処理では、シリコン損失を抑制しつつクラストを除去するとしてよい。特定の実施形態によると、上部クラストおよび側方クラストを両方、除去するとしてよい。この後、処理505において、バルクフォトレジストを除去するべく、NFの流量を低減して、H/CO/NF/CFから生成されるプラズマにウェハを暴露する。処理507において、CFを停止させて、H/CO/NFから生成するプラズマにウェハを暴露する。この処理では、任意でNFを急激に増加させる。この処理は、残っている残留物を完全に除去するためのオーバーストリッピング処理であってよい。オーバーストリッピングとは、フォトレジストをすべて除去するために通常必要となる程度を超えてもストリッピング処理を継続することを意味し、既に洗浄された表面から材料をストリッピングすることを含むとしてよい。副産物(不図示)を除去した後、処理509において当該プロセスは終了し、洗浄後のウェハを取り出すとしてよい。
上述したように、ウェハまたはその他のワークピース上に注入処理後に形成されるフォトレジストおよび残留物に応じて、プロセス中のさまざまな段階でNFを急激に増加させるとしてよい。例えば、除去プロセスの最初にNFを急激に増加させて、困難な上部クラストの除去処理を容易にするとしてよい。上部クラストは、プロセスの最初に除去することで、ポップが発生しないようにするとしてよい。この後で、NFの流量を減少させてCFと組み合わせることで、バルクフォトレジストを除去するとしてよい。特定の実施形態によると、バルクフォトレジストを除去した後でNFを急激に増加させて、ストリンガーの除去を容易にする。ストリンガーとは、2つの隣接する露出領域間にあり暴露されていないために残ってしまった細長いフォトレジスト残留物である。
特定の実施形態によると、バルクフォトレジストの一部またはすべてを除去する際のNFおよびCFの比率は、「ベース」比率と見なされるとしてよい。スパイクは、この比率と相対的に測定される。例えば、さまざまな一連の処理に応じてバルクフォトレジストを除去する際のNF:CFを「ベース」比率にして、バルクフォトレジストの除去の前および/または後で、この比率を上げるとしてよい。一例を挙げると、ベース比率が3:20(例えば、NFが15sccmで、CFが100sccmである)で、スパイクではこの比率を1:2(NFが50sccmで、CFが100sccmである)まで上げるか、または、CFが存在しない場合には、無限に上昇させる。任意のプロセスで採用されている実際の流量および比率はこれとは異なるとしてよいが、相対的な流量および比率を変更することによって、図3Aおよび図3Bで示すような効果を利用して、除去プロセスを制御可能である。特定の実施形態によると、1以上の処理ではCFのみでNFが存在しない。しかし、多くの実施形態によると、CFは、適切な保護効果を奏するので、除去速度が速いというNFの利点を活かせることが分かっている。さまざまな実施形態によると、ベース比率は1:50−1:2とするとしてよく、スパイクではベース比率よりも高くする。特定の実施形態によると、NFスパイクは、NF:CFの比率の少なくとも2倍にするとしてよい。
上述したように、特定の実施形態によると、CO抽気ガスを利用して、Hと共に常に流す。300mmのウェハの場合、Hを10−15lpm(各ステーションでは2−3lpmとして、COは約100sccm−300sccm(各ステーションでは20−60sccm)で流すと、この範囲外の場合よりも、シリコン損失が抑制されることが分かっている。これは図6に図示している。図6の流量は、ステーションを5個備えるチャンバの総CO量を反映しており、ステーション毎で見ると、ウェハに対してはCOが20sccm−60sccmであり、具体的には32sccmである。
<プロセスパラメータ>
<注入ガス>
水素含有ガス、通常は水素分子を含むガスをプラズマ源に導入する。プラズマ源に導入されるガスは、イオン化される化学的に活性の種か、または、プラズマ源内でプラズマを形成する化学的に活性の種を含む。プラズマ源に導入されるガスは、フッ素原子、三フッ化窒素、および、六フッ化硫黄等のフッ素含有ガスを含む。プラズマに導入されるガスは、保護ガス、通常は炭素を含有する保護ガスを含む。特定の実施形態によると、保護ガスは、四フッ化炭素、Cまたはヒドロフルオロカーボン等のフッ化炭素ガスである。
特定の具体的な実施形態によると、プラズマ源に導入されるガスは、四フッ化炭素の割合が体積比で約0.1%から約3%であり、三フッ化窒素の割合が体積比で約0.3%から2%である。プラズマ源に導入されるガスは、二酸化炭素、一酸化炭素、二酸化窒素、酸化窒素および/または水等の弱い酸化剤を含むとしてよい。特定の実施形態によると、弱い酸化剤は二酸化炭素である。さまざまな実施形態によると、注入ガスは、水素分子が約1−99体積パーセント、約80−99.9体積パーセント、または、約95体積パーセント、CO等のその他の弱い酸化剤が約0−25体積パーセント、三フッ化窒素またはその他の炭素を含まずフッ素を含む化合物が約0.1−3体積パーセント、四フッ化炭素またはその他の保護用化合物が約0.1−6体積パーセントである。
特定の実施形態によると、プラズマ源に導入されるガスは実質的に、水素分子、二酸化炭素またはその他の弱い酸化剤、炭素を含まずフッ素を含む化合物、および、保護用の化合物から成る。一連のプロセスのうち1以上の処理において保護用のガス流を止める特定の実施形態によると、プラズマ源へ導入されるガスは実質的に、水素分子、二酸化炭素またはその他の弱い酸化剤および炭素を含まずフッ素を含む化合物から成る。他の実施形態によると、処理ガスに1以上のガスを追加するとしてよい。例えば、不活性ガスを追加するとしてよい。
プラズマ源に導入されるガスは、事前に混合させておくとしてもよいし、一部分を混合させるとしてもよいし、または、混合しないとしてもよい。それぞれのガス源は、プラズマ源に導入される前に、混合用プレナムに流入するとしてよい。他の実施形態によると、複数の異なるガスを別箇にプラズマ源に導入させるとしてよい。プラズマ源に導入するガスは、マルチステーションチャンバが備える複数の異なる反応ステーションで用いられる場合、さまざまな組成を持つとしてよい。例えば、ステーションを6個備えるチャンバでは、ステーション1(または、ステーション1が予熱に用いられる場合、ステーション2)、または、ステーション6がそれぞれ、クラストまたは残留物を除去するためにNFガスの量を比較的高くした処理ガスを利用するとしてよい。他のステーションのうち1以上は、保護ガスをほとんどまたは全く含まない処理ガスを利用するとしてよい。二酸化炭素または弱い酸化剤を含まない処理ガスを利用するとしてもよい。
弱い酸化剤を用いて水素ベースのプラズマを利用してフォトレジストおよびエッチング材料をストリッピングする方法は、米国特許第7,288,484号に開示されている。当該特許文献の内容は全て、参照により本願に組み込まれる。フォトレジストおよびエッチング残留物をHDI後にストリッピングする方法は、米国特許公開広報第2009/0053901号に記載されている。当該特許文献の内容は全て、参照により本願に組み込まれる。
<プラズマの生成>
本発明に応じてさまざまな種類のプラズマ源、例えば、RFプラズマ源、DCプラズマ源およびマイクロ波プラズマ源を用いるとしてよい。好ましい実施形態によると、下流RFプラズマ源を利用する。通常は、300mmのウェハの場合、RFプラズマ電力の範囲は、約300ワットから約10キロワットである。一部の実施形態によると、RFプラズマ電力は、約2000ワットと5000ワットとの間、例えば、3500Wである。
<シャワーヘッド構造体>
本発明のさまざまな実施形態によると、プラズマガスは、シャワーヘッド構造体によって、ワークピースの表面に供給される。シャワーヘッド構造体は、接地されているか、または、一部の荷電した種を誘引するがウェハに対する中性の種の流れには影響を与えない電圧が印加されるとしてよく、例えば、0−1000ワットのバイアスが印加されるとしてよい。プラズマに含まれる荷電した種の多くは、シャワーヘッドにおいて再結合する。シャワーヘッド構造体は、プラズマおよび不活性ガスの混合物を反応チャンバ内に方向付けるための穴が形成されている金属プレートであるシャワーヘッドを含む。シャワーヘッドは、プラズマ源から得た活性の水素をより大きい領域にわたって再び供給して、利用するプラズマ源の小型化を可能とする。シャワーヘッドの穴の数および配置は、ストリッピング速度およびストリッピング速度均一性を最適化するべく設定されるとしてよい。プラズマ源がウェハの上方に中央に位置している場合、シャワーヘッドの穴は、活性のガスを外側の領域に向けて押し出すべく、シャワーヘッドの中央の方が小さくて数も少ないことが好ましい。シャワーヘッドの穴は少なくとも100個あるとしてよい。適切なシャワーヘッドとしては、ノベルス・システムズ・インコーポレーテッド(Novellus Systems,Inc.)(米国カリフォルニア州サンノゼ)社製のGamma xPRシャワーヘッドまたはGxTドロップインシャワーヘッドを含むとしてよい。シャワーヘッド構造体が無い実施形態では、プラズマは直接処理チャンバに入る。
<処理チャンバ>
処理チャンバは、実行中のストリッピング処理に適した反応チャンバであればどのような反応チャンバであってもよい。複数のチャンバを備える装置における1つのチャンバであってもよいし、単純に1つのチャンバを備える装置であってもよい。チャンバはさらに、複数のステーションを有するとしてよい。尚、複数の異なるウェハを同時に処理する。処理チャンバは、注入処理、エッチング、または、その他のレジストを利用する処理が行なわれるのと同じチャンバであってよい。他の実施形態によると、別箇のチャンバをストリッピング用に予定しておく。処理チャンバ圧の範囲は、約600mTorrから2Torrであってよい。特定の実施形態によると、圧力の範囲は、約0.9Torrから1.5Torrである。
処理チャンバは、ストリッピング処理が実行される処理ステーションを1以上有する。特定の実施形態によると、1以上の処理ステーションは、予熱ステーション、少なくとも1つのストリッピングステーション、および、オーバーアッシングステーションを含む。ウェハ支持部は、処理中のウェハを支持するように構成されている。ウェハ支持部はさらに、ウェハ温度を適宜調整するべく、処理中にウェハとの間で熱を交換するとしてよい。特定の実施形態によると、ウェハは複数の微小コンタクト上で支持されており、ウェハ支持部の表面に物理的に接触していない。スピンドルがウェハを取り上げて、ステーション間でウェハを移動させる。
図8は、ウェハに本発明を実施するのに適した下流プラズマ装置800の側面を示す概略図である。装置800では、プラズマ生成部811および露光チャンバ801がシャワーヘッドアセンブリ817によって分離している。露光チャンバ801において、ウェハ803はプラテン(またはステージ)805上に載置される。プラテン805は、加熱/冷却素子が設けられている。一部の実施形態によると、プラテン805は、ウェハ803にバイアスを印加するように構成されている。コンジット807を介して真空ポンプによって露光チャンバ801内を低圧とする。気体状の水素(希釈ガス/キャリアガスを含んでも含まなくてもよい)および二酸化炭素(またはその他の弱い酸化剤)のソースによって、吸気口809を通って当該装置のプラズマ生成部811に入るガス流が得られる。プラズマ生成部811は、一部の周囲が誘導コイル813によって取り囲まれている。誘導コイル813は、電源815に接続されている。動作について説明すると、混合ガスをプラズマ生成部811に導入して、誘導コイル813にエネルギーを加えると、プラズマ生成部811でプラズマが生成される。シャワーヘッドアセンブリ817は、電圧が印加されるか、接地されるとしてよく、種の流れを露光チャンバ801内へと方向付ける。上述したように、ウェハ803は、温度が制御されるとしてよく、および/または、RFバイアスが印加されるとしてよい。プラズマ源811および誘導コイル813は、さまざまな構成および構造のものを利用するとしてよい。例えば、誘導コイル813は、インターレースパターンでプラズマ源811の周りに巻き回されているとしてよい。別の例によると、プラズマ源811は、円筒形状ではなくドーム形状を持つとしてよい。コントローラ850は、処理チャンバの構成要素に接続されているとしてよく、ストリッピング処理における処理ガスの組成、圧力、温度およびウェハへのインデックス付与を制御するとしてよい。上記の処理の処理条件を制御するための命令を含む機械可読媒体をコントローラに結合するとしてよい。
適切なプラズマチャンバおよびシステムとしては、Novellus Systems,Inc社(米国カリフォルニア州サンノゼ)製のガンマ2100、2130 ICP(インターレース方式誘導結合プラズマ)G400、および、GxTがある。他のシステムとしては、Axcelis Technologies Inc.社(米国メリーランド州、ロックビル)製のフュージョンライン(Fusion line)、PSK Tech Inc.社(韓国)製のTERA21、Mattson Technology Inc.社(米国カリフォルニア州フリーモント)製のAspenがある。また、さまざまなストリッピングチャンバは、クラスタツール上に構成するとしてもよい。例えば、ストリッピングチャンバは、Applied Materials社(米国カリフォルニア州サンタクラーラ)製のCenturaクラスタツールに追加されるとしてよい。
<ワークピース>
好ましい実施形態によると、本発明に係る方法および装置で利用されるワークピースは、半導体ウェハである。利用するウェハのサイズは任意であるとしてよい。大半の最新型のウェハ製造設備では、200mmまたは300mmのいずれかのウェハを利用する。上述したように、本明細書で開示する処理および装置は、エッチング、イオン注入または成膜等の処理動作の後に、フォトレジストをストリッピングする。本発明は、例えば、100nm未満、65nm、または、45nm以下等、非常に小さいフィーチャまたは限界寸法を持つウェハに適している。本明細書で開示しているように、HDISのシリコン損失が低いという特徴は、高性能ロジックデバイスの超浅接合に特に適している。本発明はまた、トランジスタ工程(Front End of the Line:FEOL)のイオン注入処理、特に、高ドーズイオン注入処理が行われるウェハに特に適している。
プラズマ活性化された種は、フォトレジストと反応して、スパッタリングによってウェハ上に残留物が形成される。ウェハ上では、反応性のガスが、複数のプラズマ活性化された種、不活性ガス、ラジカル、荷電種、および、副産物のガスを含んでいるとしてよい。さまざまな水素種の体積濃度は、ウェハ上のガスの約20−80%であってよい。さまざまなフッ素種の体積濃度は、0.01%から約2%、または、1%未満であるとしてよい。弱い酸化剤に起因するさまざまな種の体積濃度は、0.05%から約5%、または、約1.2%であってよい。これらの種には、H 、H 、H、H、e、OH、O、CO、CO、HO、HF、F、F、CF、CFおよびCFが含まれるとしてよい。
処理条件は、ウェハサイズに応じて変わるとしてよい。本発明の一部の実施形態によると、プラズマをワークピース表面に照射している間、ワークピースを特定温度に維持することが望ましい。ウェハ温度の範囲は、約摂氏110度と約摂氏500度との間であるとしてよい。上述したようなフォトレジストポップの可能性を抑えるべく、ウェハ温度は、十分なクラストが除去されてフォトレジストポップの懸念がなくなるまで、低速で昇温させることが好ましい。最初のステーション温度は、約摂氏110度から約摂氏260度であるとしてよく、例えば、約摂氏240度であるとしてよい。後続のステーションでは、摂氏285度から約摂氏350度等、これより高温を利用して、良好なストリッピング速度を実現するとしてよい。特定の実施形態によると、温度は、NFスパイク中に昇温させて、NFスパイクに関連して発生するSi損失を抑制する。
<処理例>
上述したように、特定の実施形態では、マルチステーションストリッピング装置を利用して、本明細書で説明するフォトレジストおよび残留物をストリッピングする処理を実行する。図7は、ステーション1、2、3、4、5および6を含む当該装置を上から見た様子を示す簡略概略図である。ウェハは、チャンバ701を通って装置のステーション1に入り、各ステーションに順番に当該ステーションで処理を実行するべく輸送され、処理が完了した後にステーション6からチャンバ702を通って出る。当該アーキテクチャによると、シリコン損失を抑えつつ、TiN金属ゲートと相性が良く、水素をベースとする残留物が無い高ドーズインプラントストリッププロセスを実行することができる。
Figure 2013513946
上記のプロセスは、ステーション6で実行されるNFスパイクを含む一連の処理の一例である。
Figure 2013513946
上記のプロセスは、クラスト除去の際ステーション2での暴露時間の半分にわたるNFスパイクを含む一連の処理の一例である。例えば、ウェハが18秒間にわたってステーションに留まり、NFスパイクは後半の9秒間にわたるとしてよい。
Figure 2013513946
上記のプロセスは、ステーション2における暴露時間のある時点において、例えば、クラストの除去を支援するべく、CFを停止させるプロセスの一例である。
Figure 2013513946
上記の一連のプロセスは、相対的にNFおよびCFの流量を修正することによって、ストリッピングをどのように制御するかを示す例である。
本発明をいくつかの好ましい実施形態に基づき説明してきたが、本発明は上述した具体的な構成に限定されるものではない。上述した好ましい実施形態は多くの点で変形され得る。このため、本発明は、特許請求の範囲に記載する請求項に基づき広義に解釈されたい。

Claims (21)

  1. 反応チャンバにおいてワークピースの表面から材料を除去する方法であって、
    水素分子、炭素を含有せずフッ素を含有するガス、および、保護用のフッ化炭素化合物を含む処理用混合ガスから第1のプラズマを形成する段階を備え、
    前記炭素を含有せずフッ素を含有するガスおよび前記保護用のフッ化炭素化合物は、第1の体積流量比で供給され、
    前記方法はさらに、
    前記ワークピースの表面を前記第1のプラズマに暴露して、前記ワークピースの表面から材料の第1の部分を除去する段階と、
    前記炭素を含有せずフッ素を含有するガスおよび前記保護用のフッ化炭素化合物の前記第1の体積流量比を変更して、第2のプラズマを形成する段階と、
    前記ワークピースの表面を前記第2のプラズマに暴露して、前記ワークピースの表面から材料の第2の部分を除去する段階と
    を備える方法。
  2. 前記保護用のフッ化炭素化合物は、CF、C、CHF、CH、Cのうち1つである請求項1に記載の方法。
  3. 前記保護用のフッ化炭素化合物は、CFである請求項2に記載の方法。
  4. 前記炭素を含有せずフッ素を含有するガスは、NF、F、HFまたはSFのうち1つである請求項1から請求項3のうちいずれか一項に記載の方法。
  5. 前記炭素を含有せずフッ素を含有するガスは、NFである請求項4に記載の方法。
  6. 前記ワークピースの表面から除去される前記材料は、高ドーズ注入処理されたレジストを含む請求項1から請求項5のうちいずれか一項に記載の方法。
  7. 前記炭素を含有せずフッ素を含有するガスおよび前記保護用のフッ化炭素化合物の前記第1の体積流量比は、約1:20−1:5の間であり、
    前記第1の体積流量比を変更する段階は、前記第1の体積流量比を約1:4−1:2に変更する段階を有する請求項1から請求項6のうちいずれか一項に記載の方法。
  8. 前記炭素を含有せずフッ素を含有するガスおよび前記保護用のフッ化炭素化合物の前記第1の体積流量比は、約1:20−1:5の間である請求項1から請求項6のうちいずれか一項に記載の方法。
  9. 前記炭素を含有せずフッ素を含有するガスおよび前記保護用のフッ化炭素化合物の前記第1の体積流量比を変更して、第2のプラズマを形成する段階は、前記保護用のフッ化炭素化合物の流入を停止させる段階を有する請求項1から請求項6のうちいずれか一項に記載の方法。
  10. 前記処理用の混合ガスはさらに、二酸化炭素を含む請求項1から請求項9のうちいずれか一項に記載の方法。
  11. 前記ワークピースは、除去後、前記高ドーズ注入処理されたレジストの残留物が略存在せず、
    前記ワークピースのシリコン表面から失われたシリコンは約2オングストローム未満である請求項1から請求項10のうちいずれか一項に記載の方法。
  12. 前記ワークピースは、除去後、前記高ドーズ注入処理されたレジストの残留物が略存在せず、
    前記ワークピースのシリコン表面から失われたシリコンは約1オングストローム未満である請求項11に記載の方法。
  13. 反応チャンバにおいてワークピースの表面から材料を除去する方法であって、
    水素分子、炭素を含有せずフッ素を含有するガス、および、保護用のフッ化炭素化合物を含む処理用混合ガスから第1のプラズマを形成する段階と、
    前記ワークピースの表面を前記第1のプラズマに暴露して、前記ワークピースの表面から材料の第1の部分を除去すると同時に、前記ワークピースのシリコン含有表面上に保護層を形成する段階と
    を備える方法。
  14. 前記保護用のフッ化炭素化合物は、CF、C、CHF、CH、Cのうち1つである請求項13に記載の方法。
  15. 前記炭素を含有せずフッ素を含有するガスは、NF、F、HFまたはSFのうち1つである請求項13または請求項14に記載の方法。
  16. 前記保護用のフッ化炭素化合物は、CFであり、前記炭素を含有せずフッ素を含有するガスは、NFである請求項13に記載の方法。
  17. 前記処理用の混合ガスはさらに、二酸化炭素を含む請求項13から請求項16のうちいずれか一項に記載の方法。
  18. 前記ワークピースは、除去後、前記高ドーズ注入処理されたレジストの残留物が略存在せず、
    前記ワークピースのシリコン表面から失われたシリコンは約2オングストローム未満である請求項13から請求項17のうちいずれか一項に記載の方法。
  19. 前記ワークピースは、除去後、前記高ドーズ注入処理されたレジストの残留物が略存在せず、前記ワークピースのシリコン表面から失われたシリコンは約1オングストローム未満である請求項18に記載の方法。
  20. 反応チャンバにおいてワークピースの表面から高ドーズ注入処理されたレジストを除去する方法であって、
    材料の第1の部分を除去する段階を備え、
    前記第1の部分を除去する段階は、
    水素分子、弱い酸化剤、炭素を含有せずフッ素を含有するガス、および、保護用のフッ化炭素ガスを含む第1のガスをプラズマ源に導入する段階と、
    前記プラズマ源に導入された前記第1のガスから第1のプラズマを生成する段階と、
    前記ワークピースを前記第1のプラズマに暴露して、前記材料の前記第1の部分を除去する段階と
    を有し、
    前記方法はさらに、前記材料の第2の部分を除去する段階を備え、
    前記第2の部分を除去する段階は、
    水素分子、弱い酸化剤、炭素を含有せずフッ素を含有するガスを含み、保護用のフッ化炭素ガスを実質的に含まない第2のガスをプラズマ源に導入する段階と、
    前記プラズマ源に導入された前記第2のガスから第2のプラズマを生成する段階と、
    前記ワークピースを前記第2のプラズマに暴露して、前記材料の前記第2の部分を除去する段階と
    を有する方法。
  21. ワークピースの表面から材料を除去する装置であって、
    反応チャンバを備え、
    前記反応チャンバは、
    プラズマ源と、
    前記プラズマ源の下流に配置されているシャワーヘッドと、
    前記シャワーヘッドの下流に配置されているワークピース支持部と、
    一連の命令を実行するコントローラと
    を有し、
    前記ワークピース支持部は、前記ワークピース支持部上で支持しているワークピースの温度を制御するための温度制御メカニズムおよびペデスタルを含み、
    前記一連の命令は、水素分子、炭素を含有せずフッ素を含有するガス、および、保護用のフッ化炭素化合物を含む処理用混合ガスから第1のプラズマを形成するための命令を含み、
    前記炭素を含有せずフッ素を含有するガスおよび前記保護用のフッ化炭素化合物は、第1の体積流量比で供給され、
    前記一連の命令はさらに、
    前記ワークピースの表面を前記第1のプラズマに暴露して、前記ワークピースの表面から材料の第1の部分を除去するための命令と、
    前記炭素を含有せずフッ素を含有するガスおよび前記保護用のフッ化炭素化合物の前記第1の体積流量比を変更して、第2のプラズマを形成するための命令と、
    前記ワークピースの表面を前記第2のプラズマに暴露して、前記ワークピースの表面から材料の第2の部分を除去するための命令とを含む装置。
JP2012543229A 2009-12-11 2010-12-08 方法、装置および製造方法 Active JP5888652B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/636,582 2009-12-11
US12/636,582 US20110143548A1 (en) 2009-12-11 2009-12-11 Ultra low silicon loss high dose implant strip
PCT/US2010/059388 WO2011071980A2 (en) 2009-12-11 2010-12-08 Ultra low silicon loss high dose implant strip

Publications (3)

Publication Number Publication Date
JP2013513946A true JP2013513946A (ja) 2013-04-22
JP2013513946A5 JP2013513946A5 (ja) 2014-01-30
JP5888652B2 JP5888652B2 (ja) 2016-03-22

Family

ID=44143417

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012543229A Active JP5888652B2 (ja) 2009-12-11 2010-12-08 方法、装置および製造方法

Country Status (7)

Country Link
US (2) US20110143548A1 (ja)
JP (1) JP5888652B2 (ja)
KR (1) KR101226411B1 (ja)
CN (1) CN102870198B (ja)
SG (1) SG171962A1 (ja)
TW (1) TWI559363B (ja)
WO (1) WO2011071980A2 (ja)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US10283615B2 (en) 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US8916477B2 (en) * 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US8932406B2 (en) * 2012-09-04 2015-01-13 Matheson Tri-Gas, Inc. In-situ generation of the molecular etcher carbonyl fluoride or any of its variants and its use
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
KR102138729B1 (ko) * 2012-10-30 2020-07-28 도쿄엘렉트론가부시키가이샤 에칭 처리 방법 및 기판 처리 장치
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
KR102148833B1 (ko) * 2016-02-26 2020-08-28 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 주입형 포토레지스트 스트리핑 공정
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10872761B2 (en) * 2018-06-25 2020-12-22 Mattson Technology Inc. Post etch defluorination process
US10403492B1 (en) * 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
CN111341657A (zh) * 2018-12-19 2020-06-26 夏泰鑫半导体(青岛)有限公司 等离子体处理方法
US11342194B2 (en) * 2019-11-25 2022-05-24 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US20220254660A1 (en) * 2021-02-05 2022-08-11 Linco Technology Co., Ltd. Substrate processing apparatus

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06208972A (ja) * 1993-01-12 1994-07-26 Matsushita Electric Ind Co Ltd プラズマ処理方法
JPH08293487A (ja) * 1995-04-24 1996-11-05 Nec Corp エッチング方法
JPH0936099A (ja) * 1995-07-19 1997-02-07 Toshiba Corp ドライエッチング方法
JP2000286248A (ja) * 1999-01-28 2000-10-13 Canon Inc イオン注入されたホトレジストの残渣の処理方法及び半導体装置の製造方法
JP2007515781A (ja) * 2003-05-07 2007-06-14 アクセリス テクノロジーズ, インコーポレイテッド 広範囲温度チャック装置

Family Cites Families (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4201579A (en) * 1978-06-05 1980-05-06 Motorola, Inc. Method for removing photoresist by hydrogen plasma
US4357203A (en) 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH0777211B2 (ja) 1987-08-19 1995-08-16 富士通株式会社 アッシング方法
JPH0770524B2 (ja) 1987-08-19 1995-07-31 富士通株式会社 半導体装置の製造方法
US4961820A (en) 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
US5354386A (en) 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
US5122225A (en) * 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
JPH05275326A (ja) 1992-03-30 1993-10-22 Sumitomo Metal Ind Ltd レジストのアッシング方法
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5522932A (en) * 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
EP0664347A3 (en) * 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5900351A (en) * 1995-01-17 1999-05-04 International Business Machines Corporation Method for stripping photoresist
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
US5817406A (en) 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
JP3585591B2 (ja) * 1995-07-29 2004-11-04 株式会社半導体エネルギー研究所 エッチング装置及びエッチング方法
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US5707485A (en) * 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
JPH09205130A (ja) 1996-01-17 1997-08-05 Applied Materials Inc ウェハ支持装置
US6013574A (en) * 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US5651860A (en) 1996-03-06 1997-07-29 Micron Technology, Inc. Ion-implanted resist removal method
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5814155A (en) 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6127262A (en) * 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6129091A (en) 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5830775A (en) 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US5811358A (en) * 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6177023B1 (en) 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JPH1187307A (ja) 1997-09-05 1999-03-30 Sony Corp レジストの除去方法及びその除去装置
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6797188B1 (en) * 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6536449B1 (en) * 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
EP0940846A1 (en) 1998-03-06 1999-09-08 Interuniversitair Micro-Elektronica Centrum Vzw Method for stripping ion implanted photoresist layer
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5980770A (en) 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6086952A (en) 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6342446B1 (en) * 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6107184A (en) 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6121091A (en) 1999-01-19 2000-09-19 Taiwan Semiconductor Manufacturing Company Reduction of a hot carrier effect phenomena via use of transient enhanced diffusion processes
US6417080B1 (en) 1999-01-28 2002-07-09 Canon Kabushiki Kaisha Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
US6130166A (en) 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6709715B1 (en) * 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6177347B1 (en) * 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6767698B2 (en) 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US20050022839A1 (en) 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US20010027023A1 (en) 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
JP2001308078A (ja) 2000-02-15 2001-11-02 Canon Inc 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム
US6184134B1 (en) * 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6667244B1 (en) 2000-03-24 2003-12-23 Gerald M. Cox Method for etching sidewall polymer and other residues from the surface of semiconductor devices
US6409932B2 (en) 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
JP4470274B2 (ja) * 2000-04-26 2010-06-02 東京エレクトロン株式会社 熱処理装置
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6426304B1 (en) 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
DE10051380C2 (de) * 2000-10-17 2002-11-28 Advanced Micro Devices Inc Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
US6569257B1 (en) * 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6319842B1 (en) 2001-01-02 2001-11-20 Novellus Systems Incorporated Method of cleansing vias in semiconductor wafer having metal conductive layer
US6589879B2 (en) * 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
CN1322556C (zh) * 2001-02-15 2007-06-20 东京毅力科创株式会社 被处理件的处理方法及处理装置
US7186648B1 (en) * 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6723654B2 (en) 2001-03-30 2004-04-20 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6875702B2 (en) 2001-06-11 2005-04-05 Lsi Logic Corporation Plasma treatment system
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US20030036284A1 (en) * 2001-08-16 2003-02-20 Yu-Ren Chou Method for removing the photoresist layer of ion-implanting process
US6872652B2 (en) * 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4838464B2 (ja) 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
JP5038567B2 (ja) 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US6680164B2 (en) * 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP4326746B2 (ja) 2002-01-07 2009-09-09 東京エレクトロン株式会社 プラズマ処理方法
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6656832B1 (en) 2002-07-25 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd Plasma treatment method for fabricating microelectronic fabrication having formed therein conductor layer with enhanced electrical properties
US7833957B2 (en) 2002-08-22 2010-11-16 Daikin Industries, Ltd. Removing solution
US6900135B2 (en) * 2002-08-27 2005-05-31 Applied Materials, Inc. Buffer station for wafer backside cleaning and inspection
US6777173B2 (en) 2002-08-30 2004-08-17 Lam Research Corporation H2O vapor as a processing gas for crust, resist, and residue removal for post ion implant resist strip
US6693043B1 (en) * 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
JP2004152136A (ja) * 2002-10-31 2004-05-27 Matsushita Electric Ind Co Ltd データ更新システム、データ更新システムの差分データ生成装置及びプログラム、並びに更新後ファイル復元装置及びプログラム
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
KR100476136B1 (ko) 2002-12-02 2005-03-10 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면처리장치
US6780782B1 (en) 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US20040237997A1 (en) 2003-05-27 2004-12-02 Applied Materials, Inc. ; Method for removal of residue from a substrate
KR100542031B1 (ko) 2003-05-30 2006-01-11 피에스케이 주식회사 반도체 제조공정에서의 포토레지스트 제거방법
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7256134B2 (en) * 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US7799685B2 (en) 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
US6924239B2 (en) 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
US20050097923A1 (en) * 2003-11-12 2005-05-12 General Electric Company System and support rod assembly for sintering fiber optic sleeve tubes
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
US20050158667A1 (en) 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
WO2005072211A2 (en) 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
JP2005268312A (ja) 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
CN1914714B (zh) 2004-03-31 2011-09-28 富士通半导体股份有限公司 基板处理装置及半导体装置的制造方法
US7628864B2 (en) 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7632756B2 (en) * 2004-08-26 2009-12-15 Applied Materials, Inc. Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
JP2006073612A (ja) 2004-08-31 2006-03-16 Rohm Co Ltd レジスト除去方法
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060051965A1 (en) 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7169623B2 (en) 2004-09-09 2007-01-30 Tegal Corporation System and method for processing a wafer including stop-on-aluminum processing
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR100607777B1 (ko) * 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
DE102004063036A1 (de) 2004-12-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden von Kontaktflecken
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7344993B2 (en) * 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US7268071B2 (en) 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
JP2006203035A (ja) 2005-01-21 2006-08-03 Tokyo Electron Ltd プラズマエッチング方法
US7432172B2 (en) * 2005-01-21 2008-10-07 Tokyo Electron Limited Plasma etching method
JP2006221772A (ja) 2005-02-14 2006-08-24 Fuji Photo Film Co Ltd ディスク状情報媒体の製造方法
US7198677B2 (en) 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US8129281B1 (en) * 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP2006351594A (ja) 2005-06-13 2006-12-28 Toshiba Ceramics Co Ltd 半導体ウェーハの電気特性の測定方法
JP2007019367A (ja) 2005-07-11 2007-01-25 Ricoh Co Ltd 半導体装置の製造方法
JP5011852B2 (ja) 2005-07-20 2012-08-29 富士通セミコンダクター株式会社 電子デバイスの製造方法
US7411298B2 (en) * 2005-08-17 2008-08-12 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices
US7468326B2 (en) 2005-08-24 2008-12-23 United Microelectronics Corp. Method of cleaning a wafer
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
JP2007109744A (ja) 2005-10-11 2007-04-26 Tokuyama Corp 基板洗浄液
KR100742279B1 (ko) 2005-12-22 2007-07-24 삼성전자주식회사 반도체 소자의 제조 장치 및 방법
KR20070069802A (ko) 2005-12-28 2007-07-03 엘지.필립스 엘시디 주식회사 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7851369B2 (en) * 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
US8124516B2 (en) 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
US7740768B1 (en) * 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7595005B2 (en) 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
DE102006062035B4 (de) 2006-12-29 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement
US8083963B2 (en) 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5332052B2 (ja) 2007-06-01 2013-11-06 シャープ株式会社 レジスト除去方法、半導体製造方法、及びレジスト除去装置
KR101440282B1 (ko) * 2007-07-11 2014-09-17 주성엔지니어링(주) 플라즈마 세정 방법
US20090061623A1 (en) * 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
JP5102653B2 (ja) 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US20090277871A1 (en) 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process
US20090277472A1 (en) 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
US8791001B2 (en) * 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US20120024314A1 (en) 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
WO2011008436A2 (en) * 2009-07-13 2011-01-20 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
TWI559501B (zh) 2009-08-07 2016-11-21 半導體能源研究所股份有限公司 半導體裝置和其製造方法
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US8415212B2 (en) 2010-03-11 2013-04-09 Freescale Semiconductor, Inc. Method of enhancing photoresist adhesion to rare earth oxides
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9613825B2 (en) * 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06208972A (ja) * 1993-01-12 1994-07-26 Matsushita Electric Ind Co Ltd プラズマ処理方法
JPH08293487A (ja) * 1995-04-24 1996-11-05 Nec Corp エッチング方法
JPH0936099A (ja) * 1995-07-19 1997-02-07 Toshiba Corp ドライエッチング方法
JP2000286248A (ja) * 1999-01-28 2000-10-13 Canon Inc イオン注入されたホトレジストの残渣の処理方法及び半導体装置の製造方法
JP2007515781A (ja) * 2003-05-07 2007-06-14 アクセリス テクノロジーズ, インコーポレイテッド 広範囲温度チャック装置

Also Published As

Publication number Publication date
TW201137936A (en) 2011-11-01
KR20110100196A (ko) 2011-09-09
US20150332933A1 (en) 2015-11-19
WO2011071980A2 (en) 2011-06-16
WO2011071980A3 (en) 2011-09-01
CN102870198A (zh) 2013-01-09
SG171962A1 (en) 2011-07-28
US20110143548A1 (en) 2011-06-16
TWI559363B (zh) 2016-11-21
US9564344B2 (en) 2017-02-07
KR101226411B1 (ko) 2013-01-24
CN102870198B (zh) 2017-05-31
JP5888652B2 (ja) 2016-03-22

Similar Documents

Publication Publication Date Title
JP5888652B2 (ja) 方法、装置および製造方法
US9941108B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
JP5770740B2 (ja) 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
JP6598420B2 (ja) 改良されたデバイスインテグリティのためのフォトレジスト剥離プロセス
JP5911068B2 (ja) ワークピース上の誘電体層から材料を除去する方法および装置、並びに、ワークピース上の誘電体層から材料を除去する段階を備える集積回路を製造する方法
US7799685B2 (en) System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
JP5586077B2 (ja) 水素ベースの化学反応による高用量注入後の剥離(hdis)
TW201611120A (zh) 用以提升光阻剝除性能及改質有機膜的過氧化物蒸氣處理
Hess et al. Plasma stripping, cleaning, and surface conditioning
US20070269975A1 (en) System and method for removal of photoresist and stop layer following contact dielectric etch

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131209

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141020

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141111

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150310

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150901

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151222

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160119

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160205

R150 Certificate of patent or registration of utility model

Ref document number: 5888652

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250