CN1322556C - 被处理件的处理方法及处理装置 - Google Patents
被处理件的处理方法及处理装置 Download PDFInfo
- Publication number
- CN1322556C CN1322556C CNB028050657A CN02805065A CN1322556C CN 1322556 C CN1322556 C CN 1322556C CN B028050657 A CNB028050657 A CN B028050657A CN 02805065 A CN02805065 A CN 02805065A CN 1322556 C CN1322556 C CN 1322556C
- Authority
- CN
- China
- Prior art keywords
- mounting table
- processed
- gas
- inert gas
- gas supply
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
Abstract
本发明提供一种被处理件的处理方法及其处理装置,在设置于减压环境的处理室内的载置台上载置了被处理件的状态下,在对前述被处理件实施设定的处理的处理方法中,在前述载置台上没有载置被处理件时,至少从处理室的载置台的传热气体供给孔喷出惰性气体,在前述载置台的载置面上形成气体层。
Description
技术领域
本发明涉及对半导体晶片等被处理件实施成膜处理和蚀刻处理的处理方法及其处理装置。
背景技术
在半导体装置的制造工序中,例如,如特开平7-321184号公报所示那样,公开了在被处理件的表面上形成新的膜的、或对已经叠层的膜进行蚀刻的处理装置。
该处理装置搭载由铝等构成的处理室。在该处理室内,设置载置被处理件并兼作下部电极的载置台,以及与其对置的上部电极。前述处理装置在通过排气对处理室内减压后,对载置于载置台上的被处理件以设定的温度进行控制,同时用处理气体喷吹其处理表面。在该状态下,在上部电极上施加例如60MHz的高频,在下部电极(载置台)上施加13.56MHz的高频,将处理气体进行等离子体化,对被处理件实施设定的蚀刻处理。
在该载置台上,设置冷却套管,可将被载置的被处理件冷却到期望的温度,例如-100℃。而且,在载置台的载置面上开多个传热气体供给孔。然后,在载置了被处理件的状态下,从这些传热气体供给孔供给以冷却到期望的温度的氦(He)等散热气体,力图提高对被处理件的散热效率。
此外,如特开平7-74231号公报中公开的那样,在载置台上设置多个在上下方向移动的升降销,在被处理件的搬入、搬出时,通过这些升降销上下移动,容易地进行载置台和搬送装置的被处理件的交接。这些升降销一体地安装在一个底板上,该底板通过导入装置、,通过被安装在处理室外部的用于上下驱动的汽缸的驱动进行升降。
但是,在上述处理室内,除了上下电极以外,还搭载用于集中等离子体的聚焦环等金属或非金属部件组成的多个构成部件。这些构成部件露出在处理室内的部分因被等离子体缓慢地切削,所以需要在经过设计时间后进行更换。但是,从生产性方面来看,为了减少维修所需的时间和次数,需要尽量减少它们的更换频度。
作为其对策,尝试由难以切削的物质、例如三氧化二钇(Y2O3)或氧化锆(ZrO)等重金属的氧化物来制作聚焦环等构成部件。作为其制作方法,主体部件由粉末进行烧结,在以铝作为母材的部件上对三氧化二钇(Y2O3)进行涂层处理。此外,在处理室内表面上,也实施涂层处理并设置可抑制由等离子体产生的切削的部分。
但是,即使由重金属氧化物来制作构成部件,只要暴露于等离子体而被切削,就常常出现其重金属的微粒浮游在处理室内的状态。
此外,在重金属微粒的周边,浮游着等离子体带来的其他气化物,所以该气化物被冷却时,在凝结的同时吸收附近的重金属微粒,出现附着在被冷却的部分上的现象。由于载置被处理件的载置台如上述那样用冷却套管冷却到低温,所以在未载置被处理件时露出的载置台的载置面上堆积包含重金属的微粒。
然后,在将新的被处理件载置在载置台上时,堆积的含有重金属的微粒附着在该被处理件的背面,产生受污染的被处理件被带到下个工序的问题。
发明内容
本发明的目的在于提供一种处理方法及处理装置,在将被处理件载置在设置于处理室内部的载置台上时,可以防止其载置面上附着含有重金属的微粒,可以防止被处理件被污染。
为了实现上述目的,本发明提供一种在设置于减压环境的处理室内的载置台上载置了被处理件的状态下,对所述被处理件实施设定的处理的处理方法,其中,在所述载置台上未载置被处理件时,向所述载置台上方喷出惰性气体,形成由覆盖包含载置面的所述载置台的惰性气体构成的气体层。
此外,本发明提供一种处理方法,重复进行将被处理件搬入可维持减压环境的处理室内、载置在所述处理室内设置的载置台上实施设定的处理、然后将所述被处理件搬出到处理室外部来对多个被处理件实施处理,其中,在从将所述被处理件搬出处理室外至将下一个被处理件搬入处理室内的、处理室内不存在被处理件的期间,将实质上不含有重金属颗粒的惰性气体以第一流量供给到所述载置台表面附近。
而且,提供一种通过将处理气体导入减压环境的处理室内,对被处理件实施设定的处理的处理装置,其中,具有用于载置所述被处理件的、设置于所述处理室内的载置台,和向所述载置台的载置面供给惰性气体的第一气体供给孔,在所述载置台上未载置所述被处理件时,在所述载置台的载置面上形成所述惰性气体的气体层。
在本发明中,在进行被处理件的连续处理的制造工序间,在未载置被处理件而载置台(载置面)露出时,通过形成例如N2气体等惰性气体层以覆盖该载置台的露出部分,可以防止重金属微粒向载置台的载置面附着。由此,在将进行下一个处理的新的被处理件载置在载置台上时,可防止重金属微粒对被处理件的背面的污染,同时可防止对下一个制造工序的不良影响。
附图说明
图1是本发明第一实施方式的处理装置的结构示意图。
图2是第一实施方式的载置台的平面结构示意图。
图3是第一实施方式的传热气体供给部和惰性气体供给部的结构示意图。
图4是第一实施方式的阀开闭的计时说明图。
图5是本发明第二实施方式的传热气体供给部和惰性气体供给部的结构示意图。
图6是第二实施方式的阀开闭的计时说明图。
图7是本发明第3实施方式的处理装置的结构示意图。
图8是本发明的变化例的结构示意图。
图9是本发明第4实施方式的气体导入模型的计时说明图。
图10是现有技术和本发明技术的比较图。
具体实施方式
以下,参照附图详细地说明本发明的实施方式。
图1表示第一实施方式的对半导体晶片等被处理件实施等离子体蚀刻的处理装置的整体结构,图2表示处理室内的载置台的平面结构。图3表示传热气体供给部和惰性气体供给部的结构,图4表示用于说明惰性气体层形成中的阀开闭的计时图。
在本实施方式的处理装置主体1中,搭载铝等导电材料构成的、具有可维持真空状态的密闭度的箱状的处理室2。在该处理室2内产生等离子体的内壁面上实施氧化钇(Y2O3)涂敷。
在处理室2的上面,设置上部电极4,在底部对置设置兼作载置半导体晶片的被处理件W的下部电极的载置台5。上部电极4通过气体配管连接到处理气体供给部7,另外,在处理室内开用于排出气体的多个处理气体供给孔6。载置台5露出处理室内的表面由例如铝阳极氧化处理过的凸型圆盘形状的铝构成,在其载置面上载置被处理件W。而且,载置台5通过绝缘体8与处理室2的底部固定,并被电隔离。此外,在载置台5内部设置馈电板9。该馈电板9连接到设置于装置主体1的高频电源10,通过对载置台5施加高频电压,在两电极间产生等离子体。该处理室2内的上部电极4和载置台5之间的空间成为被处理件的处理空间3。
另外,在载置台5的外周,配置环状的聚焦环11。该聚焦环11用于将反应性离子集中在被处理件W上,例如由三氧化二钇(Y2O3)等重金属氧化物形成。而且,与载置面相比,在下方的处理室2的侧壁上,设置用于对处理室内进行排气的排气口12,在排气口12中通过排气管47和阀门48来连接排气系统46。此外,在处理室2的侧壁上,开用于搬入搬出被处理件W的闸门口37,由闸门阀38进行开闭。
如图2所示,在载置台5上设置升降销使用的多个穿孔13和用于供给传热气体的多个传热气体供给孔14。这些传热气体供给孔14连接到后述的传热气体供给部15和惰性气体供给部16。在本实施方式中,作为用于将被处理件W保持在载置台5上的卡盘部,采用静电卡盘17,在载置面上设置静电卡盘17。当然,也可以使用由爪等机械地保持被处理件W的机械卡盘。
连接到上述上部电极4的处理气体供给部7使用未图示的流量控制器(流量控制器:MFC)和阀门等,根据处理内容,对例如C12气体、C4F6气体和BCl3气体分别控制流量并向上部电极4供给。
下面,对连接到载置台5的传热气体供给部15和惰性气体供给部16进行说明。
如图3所示,传热气体供给部15是用于供给流量和温度受控制的氦气(He)等传热气体的气体供给管路。该气体管路从上流(气体源)侧起配置用于供给传热气体的传热气体供给源22、控制气体流量的流量控制器(MFC)24、暂时存储气体的缓冲箱25、用于切断供给的第一阀门26a及调温单元27,将它们之间用传热气体供给管23分别连接。该调温单元27由用于将传热气体冷却到期望的温度的调温部和控制部构成,以传热气体达到设定的温度来进行控制,并向传热气体供给孔14供给。将传热气体供给到被处理件W的背面和静电卡盘17之间的微小空间,提高载置台5和被处理件W之间的传热效果。
另外,惰性气体供给部16是用于供给流量和温度受控制的氮气(N2)等惰性气体的气体供给管路。该气体管路从上流(气体源)侧起配置用于供给N2气体的N2气体供给源28、用于切断供给的第二阀门26b、控制气体流量的MFC29及加热升温系统31,通过气体导入管32来分别连接。该加热升温系统31通过气体导入管32连接在传热气体供给管23和阀门26a之间。于是,加热升温系统31受加热器控制系统30控制并对N2气体加温,经由传热气体供给管23供给到传热气体供给孔14。再有,N2气体通过加热升温系统31被加温到50℃~250℃,优选为100℃左右,作为热气体从载置台5喷吹到处理室2。
而且,在载置台5上形成例如四个孔13,在各孔内可移动地容纳各一个升降销33,这些升降销33被固定在可升降的一个升降销支撑装置(未图示)上,形成比载置面突出的结构。当然,升降销33不限于四个,可根据设计进行变更。在被处理件W的搬入、搬出时,在通过四个升降销33将被处理件W从载置台5升起浮置的状态下,进行与未图示的搬送装置的搬送臂的交付。
下面,对在第一实施方式中,在处理装置上采用等离子体蚀刻处理装置的实例中的处理顺序进行说明。
首先,在将被处理件W搬入处理室2内时,使闸门口37打开,使保持被处理件W的搬送臂从外部(搬送室等)进入处理室2内并在载置台5上方停止。然后,将升降销33从孔13向载置面的上方突出,举起被处理件W,从搬送臂接受。
在搬送臂后退后,使升降销33平稳地下降,将被处理件W载置在载置面上,同时通过静电卡盘17使被处理件W保持在载置面上,与此同时关闭闸门口37。
在该保持后,从传热气体供给源22由流量控制器一边进行控制氦气(He)等传热气体一边让其流出,经由缓冲箱25和第一阀门26a流入调温单元27。在调温单元27中,进行控制使传热气体达到设定的温度(用于进行冷却的温度),并从传热气体供给孔14喷出。由此,将传热气体供给到被处理件W的背面和静电卡盘17之间的微小空间,可提高载置台5和被处理件W的传热效果。
接着,驱动排气系统,从排气口12对处理室2内的气体进行排气,而且,从处理气体供给部向处理空间3分别供给例如300SCCM和85SCCM的CF4和O2。于是,使处理室2内维持设定的压力,例如350mtorr(46.55Pa)左右。
接着,从高频电源10向载置台5施加高频电压,在上部电极4和载置台5之间的处理空间3内产生等离子体,进行被处理件W的等离子体蚀刻处理。然后,在等离子体蚀刻处理结束后,通过排气系统以设计时间将残留气体排气到处理室2外。此时,作为传热气体使用的He气体也被完全排气。然后,将处理室内的压力调整到可打开闸门口的压力。
然后,使升降销33上升,从载置台5将被处理件W升起并成为浮置状态。打开闸门口37,向进入的搬送壁交付被处理件W。搬送臂将被处理件W搬出到处理室2外,接着将用于进行处理的新的被处理件W搬入到处理室2内。新的被处理件W与上次同样地保持在载置台5上。
如图4所示的计时图那样,在载置台5上未载置被处理件W时,第一阀门26a关闭,停止传热气体的供给。另一方面,第二阀门26b被打开,从N2气体供给源28供给的例如流量10SCCM左右的N2气体喷出到处理室2内。此时,N2气体通过加热升温系统31被加温到50℃~250℃、优选为升温到100℃左右,作为热气体喷出到载置面上方。此时,如图1所示,在上方形成升温的N2气体的惰性气体层G,以覆盖载置台5(载置面)。
该N2气体的惰性气体层G从处理后的被处理件W与载置面分离后,直至保持到进行下一个处理的新的被处理件W被保持在载置面上之前。特别是在被处理件W分离载置时,为了在载置面上不引起位置偏差,期望被处理件W上面侧和下面侧的气压差在100mtorr(13.3pa)以下。
传热气体和惰性气体层G的关系如图4所示,在被处理件W被搬入处理室2,由升降销33载置到载置面上之前,打开第一阀门26a,从传热气体供给孔14向被处理件W的背面供给He气体。此时,关闭第二阀门26b,停止供给N2气体。
然后,结束对被处理件W的处理,在被处理件W由升降销33再次升起的同时,打开第二阀门26b,开始供给N2气体。与此同时,关闭第一阀门26a,停止供给He气体。再有,可如虚线所示那样慢慢地关闭第一阀门26a。此外,使用MFC24切断向缓冲箱25供给He气体,将阀门26a固定在关闭到某种程度的位置,即使在缓冲箱25内的残压下He气体被供给到传热气体供给孔14,也可以慢慢地减少He气体的供给量。
如上所述,作为本发明要解决的问题,是在处理室2内,因等离子体切削产生的重金属微粒浮置,而产生附着在被冷却的部分上的现象。
在以上说明的第一实施方式中,作为解决该问题的方式,由于在上方形成升温的N2气体的惰性气体层G来覆盖露出的载置台5(载置面),所以可以防止重金属微粒附着在载置台5的载置面上。因此,在将进行下一个处理的新的被处理件W被载置在载置台5上时,在该被处理件W的背面上不附着重金属微粒,可在防止对被处理件W的污染的同时,可防止对后面的制造工序的不良影响。此外,由于从载置台5的传热气体供给孔14喷出N2气体,所以也可以防止重金属微粒进入传热气体供给孔14内,附着在其内壁上。
下面,对第二实施方式进行说明。
图5表示第二实施方式的传热气体供给部和惰性气体供给部的结构,图6为用于说明惰性气体层的形成中的阀门开闭的计时图。在第二实施方式的结构部位中,在与上述第一实施方式同一结构部位上,赋予相同的参考标号并省略其说明。
本实施方式有一个气体供给源,以及由将冷却的传热气体供给处理室内的主管路和将加热的传热气体(与第一实施方式的惰性气体相当)供给到处理室内的旁通管路两个管路构成的气体供给路径。
在本实施方式中,作为供给传热气体的主管路,从上流(气体源)侧起,配置He等传热气体供给源22、流量控制器(MFC)24、缓冲箱25、第一阀门26a和调温单元27,各自通过传热气体供给管23来连接。
此外,从MFC24和缓冲箱25之间,在第一阀门26a和调温单元27之间,设置旁通管路35。在该旁通管路35中,配置第二阀门26b和加热器单元36。
通过这样的结构,传热气体在第一阀门26a打开、第二阀门26b关闭时,通过主管路供给到传热气体供给孔14,另一方面,在第一阀门26a关闭、第二阀门26b打开时,通过旁通管路35供给到传热气体供给孔14。
如图6的计时图所示,在被处理件W没有载置在载置台5上时,第一阀门26a关闭,第二阀门26b打开。通过它们的开闭,来自He气体供给源22的He气体通过旁通管路35,被加热并从载置台5的传热气体供给孔14向处理室2内喷出。此时,He气体通过加热器单元36被加热到50℃~250℃,优选为100℃左右,作为热气体从载置台5向处理室2喷出,在载置台5的上方形成被加温的He气体的气体层。再有,加热器单元36虽始终处于驱动状态,但也可以使用灯加热器等可急速加热器件,在打开第二阀门26b的同时,驱动加热器单元36。
因此,可获得与上述第一实施方式同等的效果,可以防止重金属微粒对载置台5(载置面)附着,还可以防止对被处理件W的污染。
另外,在本实施方式中,由于将He气体同时用作‘传热气体’和‘防止载置台污染的惰性气体’,所以不需要如上述第一实施方式那样,另外设置N2气体供给源等惰性气体供给管路,具有结构简化,装置成本低的优点。
下面,说明第3实施方式。
图7表示第3实施方式的对半导体晶片等被处理件进行等离子体蚀刻处理的处理装置的整体结构。在第3实施方式的结构部位中,在与上述第一实施方式同一结构部位上,赋予相同的参考标号并省略其说明。
本实施方式是不仅在载置台(下部电极)侧,而且还在面对载置台的上部电极4侧设置惰性气体供给部22的结构,是从上部电极4和载置台5两方同时供给惰性气体的结构。
该惰性气体供给部22连接到由处理气体供给部7和阀门50构成的处理气体供给管路,经由阀门50连接到上部电极4。该惰性气体供给部22从上流(气体源)侧起,由N2等惰性气体供给源18、MFC19、加热升温系统21和阀门49构成。加热升温系统21由加热器控制系统控制,将N2气体加温并供给到上部电极4。N2气体通过加热升温系统21被加温到50℃~250℃,优选为100℃左右,作为热气体从上部电极4向处理室2内喷出。
载置台5侧的传热气体供给部15、惰性气体供给部16和排气系统46与图1及图3所示的第一实施方式的结构相同。
下面,对在第3实施方式的处理装置中采用等离子体蚀刻处理装置的实例中的处理顺序进行说明。
第3实施方式与上述第一实施方式同样,在将被处理件W搬入处理室2内并载置在载置台5上后,对被处理件W实施蚀刻处理。在该处理后,通过排气系统46对处理室2内的残留气体等进行排气。在排气结束后,用升降销33升起被处理件W,交付给未图示的搬送臂。
在从处理室2内升起被处理件W时,第一阀门26a关闭,第二阀门26b和阀门49、50打开。通过这些阀门的操作,停止供给He气体,从惰性气体供给部16、22将被加温的N2气体分别同时供给到处理室2内的载置台5和上部电极4。
在载置台5侧,来自N2气体供给源28的N2气体通过MFC29和加热升温系统31,流量受到限制并且被加温,经由传热气体供给孔14向处理室2内喷出,在载置台5的上方形成被加温的N2气体构成的惰性气体层。该N2气体通过加热升温系统31,被加温到50℃~250℃,优选为100℃左右。
同时,在上部电极4侧中,来自N2气体供给源18的N2气体通过MFC19、加热升温系统21,流量受到限制并且被升温,经由上部电极4向处理室2内喷出,在上部电极4的下方形成升温的N2气体构成的惰性气体层。该N2气体通过加热升温系统21,被加温到50℃~250℃,优选为100℃左右,作为热气体,从上部电极4喷出到处理室2中。
根据以上的结构,由于从处理室2的上方和下方向处理室2供给被加温到期望温度的N2气体,在其内部(处理空间3)的大致中间产生碰撞并流向周围,成为将处理室2内部浮置的重金属微粒向处理室2的外周侧压出的状态,而且分别形成N2气体的惰性气体层,所以可以防止在载置台5和上部电极4上附着重金属微粒。
因此,可以防止在载置台5的载置面上附着重金属微粒,在接着进行处理的新的被处理件W被载置在载置台5上时,可以防止附着在该被处理件W的背面上而污染被处理件W。此外,上部电极与载置台5相比,重金属微粒的附着量少,更难以附着。
从上部电极4的处理气体供给孔6和载置台5的传热气体供给孔14供给N2气体,两者都是在被处理件W从处理室2的内部搬出后,接着进行处理的新的被处理件W搬入前进行。此外,在载置台5侧的N2气体供给在被处理件W被搬入,升降销33下降,被处理件W载置在载置面上之前进行。
如图7所示,在处理室2的内侧壁上设置红外线灯40。在载置台5上未载置被处理件W时,在供给惰性气体的同时将红外线灯40点亮,可兼用于给载置台5和其周边加温,而且也可以在载置台5中埋设电加热器。
图8表示说明第3实施方式的变化例。
此处,仅表示与载置台5有关的结构。
该结构在连接对载置台5进行冷却的制冷机主体41、和冷却器42并使制冷剂循环的制冷剂循环管路43上设有旁通44和三向阀45。也可以兼有以下功能:在该载置台5上未载置被处理件W时,将三向阀45切换到旁通管路44上,通过从制冷机主体41喷出的制冷剂按虚线箭头所示旁通到旁通管路44中,使载置台5的温度慢慢上升。
在热气体流向载置台5的载置面时,特别是在载置台5的温度很低的状态时,可停止制冷机主体41的工作,不从制冷机主体41喷出制冷剂。由此,与从制冷机主体41喷出制冷剂,通过冷却器42进行载置台5的冷却的情况相比,可以缩短载置台5的升温时间,可更迅速地形成被加温的N2气体的气体层G,所以具有提高生产率,节省能源的效果。
下面,说明第4实施方式。
第4实施方式在供给惰性气体的计时上具有特征。若将被处理件W搬入处理室2内并在载置台5上进行设定的处理,直至搬出真空处理室外部的期间细致划分,则形成图9所示的第一例~第5例的模式。
在图9中,横轴表示时间或期间A~G,纵轴表示惰性气体的气体流量。在这些期间中,
期间A为真空处理室的闸门阀打开,通过搬送臂等搬送装置将被处理件开始搬入真空处理室为止的期间;
期间B为被处理件移动到载置台的正上方为止的期间;
期间C为被处理件向载置台下降,与载置台连接为止的期间;
期间D为在被处理件与载置台连接的状态下进行设定的处理的期间;
期间E为被处理件从载置台离开上升到载置台正上方为止的期间;
期间F为被处理件由搬送部件搬出到处理室外,真空处理室的闸门阀关闭为止的期间;
期间G为闸门阀关闭后的期间。
在图9(1)所示的第一例中,在期间A和期间G中将比较大量的惰性气体供给到被处理件表面附近。在期间B和期间F中供给比较少量的惰性气体。在期间A和期间G中,在处理室内没有被处理件,所以不担心惰性气体的气流对被处理件的搬送产生不良影响,所以可以选择比较大的流量。
在期间C中使惰性气体的供给量慢慢地减少。在期间D中仅进行用于热传导的气体供给。在期间E中使惰性气体的供给量慢慢地增加。
接着,在图9(2)所示的第二例中,与第一例比较,不同在于,在期间C和期间E中,不喷出惰性气体,仅喷出热传导气体。在期间C和期间E中,因为被处理件从载置台的正上方下降或上升,所以如果惰性气体流动,则有产生被处理件的位置偏移等危险。但是,由于被处理件而使载置台上的空间受到限制,所以即使喷出传热气体,也可以一定程度地防止含有重金属微粒的气流流入。
在图9(3)所示的第3例中,与第一比较,不同点在于:在期间A-B间和期间F-G间的流量变化瞬间进行;在期间C中在该期间结束之前实质上供给与期间B相同量的惰性气体;在期间E开始之后实质上供给与期间F相同量的惰性气体。
在图9(4)所示的第4例中,与第一例比较,不同点在于:在期间A和期间G中供给惰性气体,在其他期间中不供给。该第4例是被处理件在处理室之外,在载置台的上方可为处理空间,仅在最容易产生重金属造成的污染的期间供给惰性气体。在上述各例中,在期间D中从载置台的气体通路供给He气体等传热气体。另外,在惰性气体流动期间中,惰性气体的流量比期间D中的传热气体流量大。供给到载置台表面附近的惰性气体的温度优选为50℃~250℃,更优选为100℃。
图9(5)所示的第5例是实现载置台温度的平均化,从而实现被处理件中的在时间上的温度均匀性。
该第5例在期间B、C中,在移动到载置台的正上方为止,搭载在载置面为止的期间,与期间A的没有被处理件的状态相比,增大惰性气体的气体流量,使载置台的温度暂时上升。然后,在期间D中,停止惰性气体的供给,切换为仅供给传热气体。以后,期间E、F、G与第一例同样,进行气体供给。在从期间C转移到期间D时,温度临时上升的载置台通过传热气体被冷却而温度下降,然后随着施加用于产生等离子体的高频电力的发热,温度上升,所以载置台具有V字形状的温度特性。
通过使载置台具有这样的温度特性,可以将载置于载置台上的被处理件的温度更快地上升到设定的温度,另外可以使被处理件的温度与以往相比在时间上均匀。
在图10中,表示基于上述实施方式的实验例,纵轴是载置台上附着的重金属微粒的量(三氧化二钇(Y2O3)的原子数/cm2),横轴的A表示以往的不对载置台供给气体的例子,B表示本发明的向载置台供给常温气体的例子,C表示本发明的向载置台供给被加温到约100℃的热气体的例子。三氧化二钇污染量的测定使用全反射荧光X线(TXRF)和气相分解/电感耦合等离子体法(VPD/ICP-MS)。
如图10所示,可知在相对于现有技术中载置台5上重金属微粒的量为附着3×1012个的情况,在B中为5×1010个,在C中为6×109个,变为百分之一或千分之一,重金属的附着量大幅度地减少。
在载置台5上未载置被处理件W时,通过从传热气体供给孔14供给N2气体等惰性气体,在载置台5的载置面上形成气体层(气帘),防止浮游的重金属微粒侵入附着。而且,通过将N2气体等惰性气体加温来形成热气体,即使重金属微粒侵入载置台5,通过热气体再次蒸发,防止重金属微粒进一步侵入。
再有,在上述各实施方式中,虽对被处理件进行等离子体蚀刻处理的处理装置进行了说明,但本发明可应用于所有的处理装置。此外,传热气体和惰性气体不限定于上述实施方式,可进行适当变更。
如以上说明的那样,根据本发明,通过在载置台的上部形成惰性气体的气体层,可以防止在载置台的载置面上附着重金属微粒,可以防止将下一个被处理件载置在载置台上时转印在被处理件的背面上而污染被处理件。
而且,通过给惰性气体加温并作为热气体喷出,即使重金属微粒可能附着在载置台上也再次蒸发,增大防止微粒附着的效果,此外,通过从载置台的传热气体供给孔喷出惰性气体,可以防止浮游在处理室内部的重金属微粒附着在传热气体供给孔的内部。
根据本发明,提供对于被处理件进行成膜或蚀刻等处理的处理方法和处理装置,形成惰性气体的气体层,以覆盖未载置被处理件的载置台,防止重金属微粒附着在载置台的载置面上,在将进行下一个处理的新的被处理件被载置在载置台上时,可以防止附着在新的被处理件的背面,并污染被处理件。而且,通过将惰性气体加温并作为热气体向载置台的上方喷出,即使重金属微粒可能附着在载置台上,也使其再次被蒸发,防止微粒的附着,另外,可以防止处理室内浮游的重金属微粒附着在传热气体供给孔的内部。
Claims (20)
1.一种处理方法,在设置于减压环境的处理室内的载置台上载置被处理件的状态下,对所述被处理件实施设定的处理,其特征在于,
在所述载置台上未载置被处理件时,向所述载置台上方喷出惰性气体,形成由被加温的热气体的所述惰性气体构成的气体层,以覆盖包含载置面的所述载置台,
所述惰性气体从设置于载置台的多个传热气体供给孔喷出。
2.如权利要求1所述的处理方法,其特征在于,所述热气体的温度为50℃~250℃。
3..一种处理方法,在设置于减压环境的处理室内的载置台上载置被处理件的状态下,对所述被处理件实施设定的处理,其特征在于,
在所述载置台上未载置被处理件时,从设置于载置台的多个传热气体供给孔向所述载置台上方喷出惰性气体,并且在所述处理室内从面对所述载置台的上部电极喷出作为被加温的热气体的惰性气体。
4.如权利要求3所述的处理方法,其特征在于,所述惰性气体从设置于载置台的多个传热气体供给孔和设置于上部电极的多个处理气体供给孔喷出。
5.如权利要求3所述的处理方法,其特征在于,所述热气体的温度为50℃~250℃。
6.一种等离子体处理方法,包括:
a)将被处理件搬入可维持减压环境的处理室内,并将所述被处理件移动到设置于所述处理室内的载置台上方的工序,
b)将所述被处理件放置于所述载置台表面上的工序,
c)在将所述被处理件放置于被控制在第一温度下的所述载置台表面上的状态下,通过设置于所述载置台的气体通路,将气体供给到所述载置台表面和被处理件之间的微小空间,同时在等离子体环境中对所述被处理件表面进行处理的工序,
d)将所述被处理件从所述载置台表面向上方分离的工序,以及
e)将所述被处理件从所述载置台的上方搬出到所述处理室之外的工序;
其特征在于,至少在工序a)和工序e)的期间内,将惰性气体供给到所述载置台表面附近,
所述惰性气体从设置于载置台的多个传热气体供给孔喷出。
7.如权利要求6所述的等离子体处理方法,其特征在于,
直到在所述工序b)的所述载置台表面上放置所述被处理件之前为止的期间、以及
在所述被处理件从所述工序d)的所述载置台表面分离开之后的期间内,
将所述惰性气体供给到所述载置台表面附近。
8.如权利要求6所述的等离子体处理方法,其特征在于,
所述惰性气体通过所述载置台的所述气体通路来供给,同时所述惰性气体的流量比所述工序c)中的传热气体的流量大。
9.如权利要求6所述的等离子体处理方法,其特征在于,从所述处理室内与所述载置台对置的上部电极供给所述惰性气体。
10.如权利要求6所述的等离子体处理方法,其特征在于,所述惰性气体的温度比所述第一温度高。
11.如权利要求6所述的等离子体处理方法,其特征在于,所述惰性气体的温度为50℃~250℃。
12.如权利要求6所述的等离子体处理方法,其特征在于,在所述工序a)~工序e)的期间内,
在使所述被处理件移动的所述工序a)和工序e)的期间,将不含有重金属颗粒的惰性气体,以比在所述工序b)~工序d)中流动的第一流量更大的第二流量,供给到所述载置台表面附近。
13.一种处理装置,通过将处理气体导入减压环境的处理室内,对被处理件实施设定的处理,其特征在于,
具有用于载置所述被处理件的、设置于所述处理室内的载置台,和向所述载置台的载置面供给惰性气体的第一气体供给孔,
在所述载置台上未载置所述被处理件时,形成被加温的热气体的惰性气体的气体层,以覆盖所述载置台的载置面,
所述第一气体供给孔进而还是对所述被处理件进行冷却的传热气体供给孔。
14.如权利要求13所述的处理装置,其特征在于,所述热气体的温度调整在50℃~250℃之间。
15.如权利要求13所述的处理装置,其特征在于,供给所述惰性气体的第一惰性气体供给源与所述传热气体供给源分开设置。
16.如权利要求13所述的处理装置,其特征在于,供给所述惰性气体的第一惰性气体供给源是所述传热气体供给源。
17.如权利要求13所述的处理装置,其特征在于,在从所述第一惰性气体供给源至所述第一气体供给孔之间设置加热器。
18.如权利要求13所述的处理装置,其特征在于,具有:面对所述载置台并设置于所述处理室内的上部电极,和将来自第二惰性气体供给源的惰性气体从所述上部电极供给到所述处理室内的第二气体供给孔。
19.如权利要求18所述的处理装置,其特征在于,所述第二气体供给孔是将处理气体供给到所述处理室内的处理气体供给孔。
20.如权利要求18所述的处理装置,其特征在于,在从所述第二惰性气体供给源至所述第二气体供给孔之间设置加热器。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2001038610 | 2001-02-15 | ||
JP38610/2001 | 2001-02-15 | ||
JP38610/01 | 2001-02-15 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2007101044806A Division CN101038863B (zh) | 2001-02-15 | 2002-02-15 | 被处理件的处理方法及处理装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1491429A CN1491429A (zh) | 2004-04-21 |
CN1322556C true CN1322556C (zh) | 2007-06-20 |
Family
ID=18901552
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2007101044806A Expired - Lifetime CN101038863B (zh) | 2001-02-15 | 2002-02-15 | 被处理件的处理方法及处理装置 |
CNB028050657A Expired - Lifetime CN1322556C (zh) | 2001-02-15 | 2002-02-15 | 被处理件的处理方法及处理装置 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2007101044806A Expired - Lifetime CN101038863B (zh) | 2001-02-15 | 2002-02-15 | 被处理件的处理方法及处理装置 |
Country Status (4)
Country | Link |
---|---|
US (2) | US7615259B2 (zh) |
JP (1) | JP4133333B2 (zh) |
CN (2) | CN101038863B (zh) |
WO (1) | WO2002065532A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102194651A (zh) * | 2010-03-12 | 2011-09-21 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体成膜装置装载腔 |
Families Citing this family (217)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2002065532A1 (fr) * | 2001-02-15 | 2002-08-22 | Tokyo Electron Limited | Procede de traitement de piece et dispositif de traitement |
US7390755B1 (en) | 2002-03-26 | 2008-06-24 | Novellus Systems, Inc. | Methods for post etch cleans |
US20040261946A1 (en) | 2003-04-24 | 2004-12-30 | Tokyo Electron Limited | Plasma processing apparatus, focus ring, and susceptor |
US7853477B2 (en) | 2003-12-30 | 2010-12-14 | O'shea Michael D | RF-based electronic system and method for automatic cross-marketing promotional offers and check-outs |
US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
US7532310B2 (en) * | 2004-10-22 | 2009-05-12 | Asml Netherlands B.V. | Apparatus, method for supporting and/or thermally conditioning a substrate, a support table, and a chuck |
US8193096B2 (en) * | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
JP4486489B2 (ja) * | 2004-12-22 | 2010-06-23 | 東京エレクトロン株式会社 | 処理方法及び処理装置 |
US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
JP4804968B2 (ja) * | 2006-03-16 | 2011-11-02 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US7718032B2 (en) * | 2006-06-22 | 2010-05-18 | Tokyo Electron Limited | Dry non-plasma treatment system and method of using |
JP5138195B2 (ja) * | 2006-09-26 | 2013-02-06 | 東京エレクトロン株式会社 | 伝熱ガス供給機構および伝熱ガス供給方法、ならびに基板処理装置および基板処理方法 |
US7740768B1 (en) | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
KR101312292B1 (ko) * | 2006-12-11 | 2013-09-27 | 엘아이지에이디피 주식회사 | 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법 |
US8435895B2 (en) * | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
KR101432562B1 (ko) * | 2007-12-31 | 2014-08-21 | (주)소슬 | 기판 처리 장치 및 기판 처리 방법 |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
CN101758420B (zh) * | 2008-12-08 | 2016-04-20 | 香港科技大学 | 一种提供冷却的系统、装置及方法 |
US20110143548A1 (en) * | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
KR101770008B1 (ko) * | 2009-12-11 | 2017-08-21 | 노벨러스 시스템즈, 인코포레이티드 | 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정 |
CN102446741B (zh) * | 2010-10-07 | 2016-01-20 | 株式会社日立国际电气 | 半导体器件制造方法、衬底处理装置和半导体器件 |
JP6203476B2 (ja) * | 2011-03-08 | 2017-09-27 | 東京エレクトロン株式会社 | 基板温度制御方法及びプラズマ処理装置 |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
BR112014002320A2 (pt) * | 2011-08-05 | 2017-03-01 | 3M Innovative Properties Co | sistemas e métodos para processar vapor |
US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
JP6100564B2 (ja) * | 2013-01-24 | 2017-03-22 | 東京エレクトロン株式会社 | 基板処理装置及び載置台 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
JP5859583B2 (ja) * | 2014-01-30 | 2016-02-10 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10600621B2 (en) * | 2016-03-30 | 2020-03-24 | Tokyo Electron Limited | Plasma electrode and plasma processing device |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
CN106531666A (zh) * | 2016-11-22 | 2017-03-22 | 上海华力微电子有限公司 | 工艺腔室及半导体工艺设备 |
JP2018095916A (ja) * | 2016-12-13 | 2018-06-21 | 株式会社日立国際電気 | 基板処理装置、リソグラフィ用テンプレートの製造方法、プログラム |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
CN108987323B (zh) * | 2017-06-05 | 2020-03-31 | 北京北方华创微电子装备有限公司 | 一种承载装置及半导体加工设备 |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10732615B2 (en) | 2017-10-30 | 2020-08-04 | Varian Semiconductor Equipment Associates, Inc. | System and method for minimizing backside workpiece damage |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
KR20200108016A (ko) | 2018-01-19 | 2020-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) * | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
TW202344708A (zh) | 2018-05-08 | 2023-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP2020096183A (ja) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP2020120081A (ja) * | 2019-01-28 | 2020-08-06 | 東京エレクトロン株式会社 | 基板処理装置 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
JP2021019198A (ja) | 2019-07-19 | 2021-02-15 | エーエスエム・アイピー・ホールディング・ベー・フェー | トポロジー制御されたアモルファスカーボンポリマー膜の形成方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
CN113340036B (zh) * | 2020-09-16 | 2022-07-22 | 沅陵县土家王食品有限责任公司 | 一种水产品预包装用速冻机 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN115537781A (zh) * | 2022-10-27 | 2022-12-30 | 上海埃延半导体有限公司 | 一种弥漫层流反应腔体及控制方法 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5547539A (en) * | 1993-12-22 | 1996-08-20 | Tokyo Electron Limited | Plasma processing apparatus and method |
US5858258A (en) * | 1989-04-18 | 1999-01-12 | Tokyo Electron Limited | Plasma processing method |
JPH11330056A (ja) * | 1998-05-21 | 1999-11-30 | Hitachi Ltd | 電極のクリーニング方法 |
CN1283076A (zh) * | 1999-07-27 | 2001-02-07 | 松下电工株式会社 | 用于产生等离子体的电极、使用该电极的等离子体处理设备以及利用该设备的等离子体处理 |
Family Cites Families (43)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4872947A (en) * | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
US4960488A (en) * | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
EP0419930B1 (en) * | 1989-09-26 | 1994-12-07 | Applied Materials, Inc. | Particulate contamination prevention scheme |
US5324360A (en) * | 1991-05-21 | 1994-06-28 | Canon Kabushiki Kaisha | Method for producing non-monocrystalline semiconductor device and apparatus therefor |
US5534072A (en) * | 1992-06-24 | 1996-07-09 | Anelva Corporation | Integrated module multi-chamber CVD processing system and its method for processing subtrates |
JP3292540B2 (ja) * | 1993-03-03 | 2002-06-17 | 東京エレクトロン株式会社 | 熱処理装置 |
US5695568A (en) * | 1993-04-05 | 1997-12-09 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US5456796A (en) * | 1993-06-02 | 1995-10-10 | Applied Materials, Inc. | Control of particle generation within a reaction chamber |
KR100326488B1 (ko) * | 1993-06-21 | 2002-06-20 | 조셉 제이. 스위니 | 플라즈마화학기상증착법 |
JPH0774231A (ja) | 1993-08-31 | 1995-03-17 | Tokyo Electron Ltd | 処理装置及びその使用方法 |
JPH07135200A (ja) | 1993-11-11 | 1995-05-23 | Tokyo Electron Ltd | エッチング装置 |
JPH07211681A (ja) * | 1994-01-19 | 1995-08-11 | Hitachi Ltd | 洗浄方法および洗浄装置 |
US5491603A (en) * | 1994-04-28 | 1996-02-13 | Applied Materials, Inc. | Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer |
JP3600271B2 (ja) * | 1994-05-25 | 2004-12-15 | 東京エレクトロン株式会社 | 処理装置 |
US5552124A (en) | 1994-06-22 | 1996-09-03 | Applied Materials, Inc. | Stationary focus ring for plasma reactor |
JPH0982781A (ja) | 1995-09-18 | 1997-03-28 | Kokusai Electric Co Ltd | 半導体製造装置 |
US6121163A (en) * | 1996-02-09 | 2000-09-19 | Applied Materials, Inc. | Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface |
US6465043B1 (en) * | 1996-02-09 | 2002-10-15 | Applied Materials, Inc. | Method and apparatus for reducing particle contamination in a substrate processing chamber |
JPH09232290A (ja) * | 1996-02-19 | 1997-09-05 | Sony Corp | 半導体製造装置 |
TW334609B (en) * | 1996-09-19 | 1998-06-21 | Hitachi Ltd | Electrostatic chuck, method and device for processing sanyle use the same |
US5849092A (en) * | 1997-02-25 | 1998-12-15 | Applied Materials, Inc. | Process for chlorine trifluoride chamber cleaning |
KR100560049B1 (ko) * | 1997-05-10 | 2006-05-25 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 성막방법 |
US6132552A (en) * | 1998-02-19 | 2000-10-17 | Micron Technology, Inc. | Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor |
JPH11330047A (ja) | 1998-05-12 | 1999-11-30 | Sony Corp | エッチング装置及びエッチング方法 |
JP3507331B2 (ja) * | 1998-05-20 | 2004-03-15 | 松下電器産業株式会社 | 基板温度制御方法及び装置 |
US6125025A (en) * | 1998-09-30 | 2000-09-26 | Lam Research Corporation | Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors |
US6406545B2 (en) * | 1999-07-27 | 2002-06-18 | Kabushiki Kaisha Toshiba | Semiconductor workpiece processing apparatus and method |
US6466426B1 (en) * | 1999-08-03 | 2002-10-15 | Applied Materials Inc. | Method and apparatus for thermal control of a semiconductor substrate |
JP2001185542A (ja) * | 1999-12-27 | 2001-07-06 | Hitachi Ltd | プラズマ処理装置及びそれを用いたプラズマ処理方法 |
JP2001230239A (ja) | 2000-02-15 | 2001-08-24 | Tokyo Electron Ltd | 処理装置及び処理方法 |
EP1127957A1 (en) * | 2000-02-24 | 2001-08-29 | Asm Japan K.K. | A film forming apparatus having cleaning function |
JP3411539B2 (ja) * | 2000-03-06 | 2003-06-03 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
JP4592916B2 (ja) | 2000-04-25 | 2010-12-08 | 東京エレクトロン株式会社 | 被処理体の載置装置 |
US20040081439A1 (en) * | 2000-05-04 | 2004-04-29 | Applied Materials, Inc. | Actively-controlled electrostatic chuck heater |
JP2002009048A (ja) | 2000-06-20 | 2002-01-11 | Matsushita Electric Ind Co Ltd | プラズマ処理装置のフォーカスリング |
JP4559595B2 (ja) * | 2000-07-17 | 2010-10-06 | 東京エレクトロン株式会社 | 被処理体の載置装置及びプラズマ処理装置 |
US6844273B2 (en) * | 2001-02-07 | 2005-01-18 | Tokyo Electron Limited | Precleaning method of precleaning a silicon nitride film forming system |
WO2002065532A1 (fr) * | 2001-02-15 | 2002-08-22 | Tokyo Electron Limited | Procede de traitement de piece et dispositif de traitement |
US6528427B2 (en) * | 2001-03-30 | 2003-03-04 | Lam Research Corporation | Methods for reducing contamination of semiconductor substrates |
US6677167B2 (en) * | 2002-03-04 | 2004-01-13 | Hitachi High-Technologies Corporation | Wafer processing apparatus and a wafer stage and a wafer processing method |
US6767844B2 (en) * | 2002-07-03 | 2004-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma chamber equipped with temperature-controlled focus ring and method of operating |
US20040261946A1 (en) * | 2003-04-24 | 2004-12-30 | Tokyo Electron Limited | Plasma processing apparatus, focus ring, and susceptor |
JPWO2008007675A1 (ja) * | 2006-07-11 | 2009-12-10 | 東京エレクトロン株式会社 | 成膜方法、クリーニング方法、および成膜装置 |
-
2002
- 2002-02-15 WO PCT/JP2002/001279 patent/WO2002065532A1/ja active Application Filing
- 2002-02-15 JP JP2002564750A patent/JP4133333B2/ja not_active Expired - Lifetime
- 2002-02-15 CN CN2007101044806A patent/CN101038863B/zh not_active Expired - Lifetime
- 2002-02-15 CN CNB028050657A patent/CN1322556C/zh not_active Expired - Lifetime
-
2003
- 2003-08-15 US US10/641,056 patent/US7615259B2/en not_active Expired - Lifetime
-
2009
- 2009-09-25 US US12/567,491 patent/US8287967B2/en not_active Expired - Lifetime
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5858258A (en) * | 1989-04-18 | 1999-01-12 | Tokyo Electron Limited | Plasma processing method |
US5547539A (en) * | 1993-12-22 | 1996-08-20 | Tokyo Electron Limited | Plasma processing apparatus and method |
JPH11330056A (ja) * | 1998-05-21 | 1999-11-30 | Hitachi Ltd | 電極のクリーニング方法 |
CN1283076A (zh) * | 1999-07-27 | 2001-02-07 | 松下电工株式会社 | 用于产生等离子体的电极、使用该电极的等离子体处理设备以及利用该设备的等离子体处理 |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102194651A (zh) * | 2010-03-12 | 2011-09-21 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体成膜装置装载腔 |
CN102194651B (zh) * | 2010-03-12 | 2013-03-27 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体成膜装置装载腔 |
Also Published As
Publication number | Publication date |
---|---|
US20040099635A1 (en) | 2004-05-27 |
US20100015812A1 (en) | 2010-01-21 |
JP4133333B2 (ja) | 2008-08-13 |
WO2002065532A1 (fr) | 2002-08-22 |
US8287967B2 (en) | 2012-10-16 |
CN101038863B (zh) | 2011-07-06 |
CN1491429A (zh) | 2004-04-21 |
CN101038863A (zh) | 2007-09-19 |
JPWO2002065532A1 (ja) | 2004-06-17 |
US7615259B2 (en) | 2009-11-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1322556C (zh) | 被处理件的处理方法及处理装置 | |
CN101422088B (zh) | 用于减少等离子体处理系统中的副产品沉积的方法和装置 | |
KR101000094B1 (ko) | 기판 증착장치 | |
EP0734047A2 (en) | Plasma processing method and apparatus | |
TWI679676B (zh) | 處理微電子工件的系統與方法 | |
KR102396430B1 (ko) | 기판 처리 장치 및 기판 처리 방법 | |
KR100842452B1 (ko) | 플라즈마 처리 장치용 전극 어셈블리 및 플라즈마 처리장치 | |
TWI620232B (zh) | Film forming device and film forming method | |
CN100477087C (zh) | 放置台结构以及具有该放置台结构的热处理装置 | |
CN105723496A (zh) | 基板处理装置和基板处理方法 | |
CN101950721B (zh) | 表面处理方法 | |
US11764072B2 (en) | Method for processing a workpiece using a multi-cycle thermal treatment process | |
US20210079514A1 (en) | Sputtering Method and Sputtering Apparatus | |
US20220285139A1 (en) | Apparatus for treating substrate and substrate treating method | |
KR102323579B1 (ko) | 기판 처리 방법 및 기판 처리 장치 | |
KR102503252B1 (ko) | 진공 처리 장치 | |
KR20210046150A (ko) | 기판 처리 시스템 및 방법 | |
KR102217452B1 (ko) | 상부 모듈 온도 제어 장치 및 이를 구비하는 기판 처리 시스템 | |
CN101266921A (zh) | 基板处理方法 | |
US20180057929A1 (en) | Method of Depositing Aluminum Oxide Film, Method of Forming the Same, and Sputtering Apparatus | |
KR102580584B1 (ko) | 기판 처리 장치 및 유전체 판 정렬 방법 | |
US20230343563A1 (en) | Substrate treating apparatus | |
KR20230092636A (ko) | 기판 처리 장치 | |
KR20230101995A (ko) | 기판 처리 장치 | |
TW202343662A (zh) | 基板處理設備 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CX01 | Expiry of patent term | ||
CX01 | Expiry of patent term |
Granted publication date: 20070620 |