JPWO2002065532A1 - 被処理体の処理方法及びその処理装置 - Google Patents

被処理体の処理方法及びその処理装置 Download PDF

Info

Publication number
JPWO2002065532A1
JPWO2002065532A1 JP2002564750A JP2002564750A JPWO2002065532A1 JP WO2002065532 A1 JPWO2002065532 A1 JP WO2002065532A1 JP 2002564750 A JP2002564750 A JP 2002564750A JP 2002564750 A JP2002564750 A JP 2002564750A JP WO2002065532 A1 JPWO2002065532 A1 JP WO2002065532A1
Authority
JP
Japan
Prior art keywords
mounting table
gas
processing
inert gas
processed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002564750A
Other languages
English (en)
Other versions
JP4133333B2 (ja
Inventor
西川 浩
浩 西川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JPWO2002065532A1 publication Critical patent/JPWO2002065532A1/ja
Application granted granted Critical
Publication of JP4133333B2 publication Critical patent/JP4133333B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

本発明は、減圧雰囲気の処理チャンバー内に設けられた載置台に被処理体を載置した状態で、前記被処理体に所定の処理を施す処理方法において、前記載置台に被処理体が載置されていないとき、処理チャンバーの少なくとも載置台の伝熱ガス供給孔から不活性ガスを吐出し、前記載置台の載置面にガス層を形成する被処理体の処理方法及び処理装置である。

Description

技術分野
この発明は、半導体ウエハ等の被処理体に対して成膜処理やエッチング処理を施す処理方法及びその処理装置に関する。
背景技術
半導体装置の製造工程において、例えば、特開平7−321184号公報に示すように、被処理体の表面上に新たな膜を成膜する、または既に積層されている膜をエッチングする処理装置が知られている。
この処理装置は、アルミニウム等からなる処理チャンバーを搭載している。この処理チャンバー内には、被処理体を載置し下部電極を兼ねた載置台と、これに対向する上部電極とが設けられている。前記処理装置は、処理チャンバー内を排気により減圧した後、載置台に載置される被処理体を所定の温度に制御しながら、その処理面に処理ガスを吹き付ける。この状態で、上部電極には、例えば60MHz、下部電極(載置台)には13.56MHzの高周波をそれぞれ印加させて処理ガスをプラズマ化し、被処理体に対して所定のエッチング処理を施している。
この載置台には、冷却ジャケットが設けられており、載置される被処理体を所望の温度、例えば−100℃まで冷却することができる。さらに、載置台の載置面には複数の伝熱ガス供給孔が開口されている。そして、被処理体を載置した状態で、これらの伝熱ガス供給孔から所望の温度に冷却されたヘリウム(He)等の伝熱ガスを供給して、被処理体への伝熱効率の向上を図っている。
また、特開平7−74231号公報に開示されるように、載置台には上下方向に移動する複数のリフターピンが設けられ、被処理体の搬入・搬出時にはそれらのリフターピンが上下することにより、載置台と搬送機構との被処理体の受け渡しを容易にしている。これらのリフターピンは、1つのベースプレートへ一体的に取り付けられており、このベースプレートは、導入機構を介して処理チャンバー外部に取り付けられた上下駆動用エアシリンダの駆動により昇降する。
しかし、前述した処理チャンバー内には、上下電極の他に、プラズマを集中させるためのフォーカスリング等の金属または非金属部品からなる多数の構成部品が搭載されている。これらの構成部品は、処理チャンバー内に露出している部分がプラズマによって徐々に削れてしまうため、所定期間経過後には交換する必要がある。しかし、生産性の面から見て、メンテナンスにかかる時間や回数を少なくするためには、これらの交換頻度をできるだけ少なくする必要がある。
この対策として、フォーカスリング等の構成部品を、削れにくい材質、例えばイットリア(Y)やジルコニア(ZrO)等の重金属の酸化物によって、製作することが試みられている。その作成方法としては、バルク部品は粉末から焼結したり、アルミニウムを母材とした部品にイットリア(Y)をコーティング処理したりしている。また、処理チャンバー内面においても、コーティング処理を施してプラズマによる削れを抑制させる部分も設けている。
しかしながら、重金属の酸化物によって構成部品を製作したとしてもプラズマに晒していることによって僅かながら削られており、その重金属の微粒子が処理チャンバー内に浮遊している状態が多く見られる。
また、重金属の微粒子の周辺にはプラズマから由来している他の気化物が浮遊しているため、この気化物が冷却されると、凝縮して同時に近傍の重金属の微粒子を取り込み、冷却されている部分に付着するという現象が起きる。被処理体を載置する載置台は、前述したように冷却ジャケットで低温度に冷却されているため、被処理体が載置されていないときに露出している載置台の載置面に重金属を含む微粒子が堆積する。
その後、新たな被処理体が載置台に載置されると、堆積された重金属を含む微粒子が、その被処理体の裏面に付着して、汚染された被処理体が次の工程に持ち出されるという問題が発生する。
発明の開示
本発明は、処理チャンバーの内部に設けられた載置台に被処理体が載置されていないとき、その載置面に重金属を含む微粒子が付着するのを防止し、被処理体が汚染されるのを防止することができる処理方法及び処理装置を提供することを目的とする。
本発明は、前記目的を達成するために、減圧雰囲気の処理チャンバー内に設けられた載置台に被処理体を載置した状態で、前記被処理体に所定の処理を施す処理方法において、前記載置台に被処理体が載置されていないとき、前記載置台上方に不活性ガスを吐出させて、載置面を含む前記載置台を覆う不活性ガスからなるガス層を形成する処理方法を提供する。
また、本発明は、減圧雰囲気に維持可能な処理チャンバー内に被処理体を搬入し、前記処理チャンバー内に設けた載置台上に載置して所定の処理を施した後、前記被処理体を処理チャンバー外に搬出することを繰り返して複数の被処理体に処理を施す処理方法において、前記被処理体を処理チャンバー外に搬出してから次の被処理体を処理チャンバー内に搬入するまでの、処理チャンバー内に被処理体が存在しない期間、前記載置台表面近傍に実質的に重金属の粒子を含まない不活性ガスを第1の流量で供給する処理方法を提供する。
さらに、減圧雰囲気の処理チャンバー内に処理ガスを導入することにより、被処理体に所定の処理を施す処理装置において、前記被処理体を載置するための、前記処理チャンバー内に設けられた載置台と、不活性ガスを前記載置台の載置面へ供給する第1のガス供給孔を有し、前記載置台に前記被処理体が載置されていないとき、前記載置台の載置面に前記不活性ガスのガス層を形成する処理装置を提供する。
本発明においては、被処理体の連続処理を行う製造工程間で、被処理体が載置されずに載置台(載置面)が露出している際に、その載置台の露出部分を覆うように、例えばNガス等による不活性ガス層を形成することにより、載置台の載置面への重金属の微粒子が付着することが防止できる。これにより、次に処理を行う新たな被処理体が載置台に載置されたときに、重金属の微粒子による被処理体の裏面への汚染が防止されると共に、次の製造工程への悪影響が防止される。
発明を実施するための最良の形態
以下、図面を参照して本発明の実施形態について詳細に説明する。
図1は、第1の実施形態における半導体ウエハ等の被処理体へプラズマエッチング処理を施す処理装置の概略的全体構成を示し、図2は処理チャンバー内の載置台の平面構成を示す。また、図3は伝熱ガス供給部及び不活性ガス供給部の概略的構成を示し、図4は、不活性ガス層の形成におけるバルブ開閉について説明するためのタイミングチャートを示している。
本実施形態の処理装置本体1には、アルミニウム等の導電性材料からなり、真空状態を維持できるような密閉度を持つ箱状の処理チャンバー2が搭載される。この処理チャンバー2内でプラズマにさらされる内壁面上にはイットリア(Y)コーティングが施されている。
処理チャンバー2内の上面には、上部電極4が設けられ、底部には半導体ウエハ等の被処理体Wを載置する下部電極を兼ねた載置台5が対向して設けられている。上部電極4は、ガス配管を通じてプロセスガス供給部7に接続されており、また処理チャンバー内にガスを吐出するための複数の処理ガス供給孔6が開口されている。載置台5は、処理チャンバー内に露出する表面が例えば、アルマイト処理された凸型円盤形状のアルミニウムからなり、その載置面に被処理体Wが載置される。さらに、載置台5は処理チャンバー2の底部とは絶縁体8を介して固定され、電気的に分離されている。また載置台5内部には給電板9が設けられている。この給電板9は、装置本体1に設置された高周波電源10に接続されており、載置台5に高周波電圧を印加することにより、両電極間にプラズマを発生させるようになっている。この処理チャンバー2内の上部電極4と載置台5との間の空間が被処理体の処理空間3となる。
また、載置台5の外周上には、環状のフォーカスリング11が配置されている。このフォーカスリング11は、反応性イオンを被処理体Wに集中させるために使用され、例えばイットリア(Y)等の重金属の酸化物によって形成されている。さらに、載置面よりも下方の処理チャンバー2の側壁には、チャンバ内を排気するための排気口12が設けられ、排気口12には排気管47及びバルブ48を介して排気系46が接続されている。また、処理チャンバー2の側壁には、被処理体Wを搬入搬出するためのゲート口37が開口され、ゲートバルブ38により開閉される。
図2に示すように、載置台5にはリフターピン用の複数の貫通孔13及び伝熱ガスを供給するための複数の伝熱ガス供給孔14が設けられている。これらの伝熱ガス供給孔14は、後述する伝熱ガス供給部15及び不活性ガス供給部16に接続されている。本実施形態では、載置台5上に被処理体Wを保持させるためのチャック部として、静電チャック17を採用しており、載置面上に静電チャック17が設けられている。勿論、被処理体Wを爪などにより機械的に保持するメカニカル・チャックを用いてもよい。
前記上部電極4に接続されたプロセスガス供給部7は、例えばC12ガス、Cガス及びBClガスを、図示しない流量制御器(マスフローコントローラ:MFC)やバルブ等を用いて、処理内容に応じて、それぞれ流量制御して上部電極4へ供給する。
次に、載置台5に接続された伝熱ガス供給部15及び不活性ガス供給部16について説明する。
図3に示すように、伝熱ガス供給部15は、流量と温度が制御されたヘリウムガス(He)等の伝熱ガスを供給するためのガス供給ラインである。このガスラインは、上流(ガス源)側から、伝熱ガスを供給するための伝熱ガス供給源22、ガス流量を制御する流量制御器(MFC)24、一時的にガスをストックするバッファタンク25、供給を遮断するための第1バルブ26a及び温調ユニット27が配置され、これらの間を伝熱ガス供給管23でそれぞれ接続されている。この温調ユニット27は、伝熱ガスを所望の温度まで冷却するための温調部と制御器により構成され、伝熱ガスが所定の温度になるように制御して、伝熱ガス供給孔14へ供給する。伝熱ガスは、被処理体Wの裏面と静電チャック17との間の微小空間に供給されて、載置台5と被処理体Wとの間の伝熱効果を高めている。
また、不活性ガス供給部16は、流量と温度が制御された窒素ガス(N)等の不活性ガスを供給するためのガス供給ラインである。このガスラインは、上流(ガス源)側から、Nガスを供給するためのNガス供給源28、供給を遮断するための第2バルブ26b、ガス流量を制御するMFC29及びヒートアップシステム31が配置され、ガス導入管32によりそれぞれが接続されている。このヒートアップシステム31は、ガス導入管32により伝熱ガス供給管23とバルブ26aとの間に接続している。そして、ヒートアップシステム31は、ヒータ制御システム30に制御されてNガスを加温して、伝熱ガス供給管23を経て伝熱ガス供給孔14に供給する。尚、Nガスは、ヒートアップシステム31によって50℃〜250℃、好ましくは100℃前後に加温され、ホットガスとして載置台5から処理チャンバー2に吹き出される。
さらに、載置台5には例えば、4つの孔13が形成されており、各孔内には移動可能に各1本ずつリフターピン33が収納され、これらのリフターピン33は、昇降可能な1つのリフターピン支持機構(図示しない)に固定されて載置面より突き出すように構成されている。勿論、リフターピン33は4本に限定されるものではなく、設計に従って変更可能である。被処理体Wの搬入・搬出時には、4本のリフターピン33によって被処理体Wを載置台5から持ち上げて浮かせた状態で図示しない搬送機構の搬送アームとの受け渡しを行うようになっている。
次に、第1の実施形態において、処理装置にプラズマエッチング処理装置を適用した例における処理シーケンスについて説明する。
まず、処理チャンバー2内に被処理体Wを搬入する際に、ゲート口37を開口させて被処理体Wを保持する搬送アームを外部(搬送チャンバー等)から処理チャンバー2内へ進入させ載置台5上方で停止させる。その後、リフターピン33を孔13から載置面の上方へ突き出し、被処理体Wを持ち上げて搬送アームから受け取る。
搬送アームが退避した後、リフターピン33をスムーズに下降させて、被処理体Wを載置面に載置させると共に、被処理体Wを静電チャック17により載置面に保持させ、それと同時にゲート口37を閉口する。
この保持の後、伝熱ガス供給源22からヘリウムガス(He)等の伝熱ガスを流量制御器24で流量制御しつつ流出させて、バッファタンク25及び第1バルブ26aを経て温調ユニット27に流す。温調ユニット27では、伝熱ガスを所定の温度(冷却を行うための温度)になるように制御して、伝熱ガス供給孔14から吐出させる。これにより、伝熱ガスが被処理体Wの裏面と静電チャック17との間の微小空間に供給されることとなり、載置台5と被処理体Wとの伝熱効果が高められる。
次に、排気系を駆動させて、排気口12から処理チャンバー2内の気体を排気し、さらに、プロセスガス供給部から所定のプロセスガス、例えば、CF及びOをそれぞれ300SCCM及び85SCCMずつ処理空間3に供給する。そして、処理チャンバー2内を所定の圧力、例えば350mtorr(46.55Pa)程度に維持させる。
次に、高周波電源10から高周波電圧を載置台5へ印加して、上部電極4と載置台5との間の処理空間3内にプラズマを発生させて、被処理体Wのプラズマエッチング処理を行う。そして、プラズマエッチング処理が完了した後、排気系により所定時間で残留ガス等を処理チャンバー2外へ排気する。その際、伝熱ガスとして使用されたHeガスも十分に排気させる。この後、処理チャンバー内の圧力をゲート口を開口してもよい圧力まで調整する。
そして、リフターピン33を上昇させて載置台5から被処理体Wを持ち上げて浮かせた状態にする。ゲート口37を開口して、進入してきた搬送アームへ被処理体Wを渡す。搬送アームは、被処理体Wを処理チャンバー2外に搬出し、次に処理を行うための新たな被処理体Wを処理チャンバー2内に搬入する。この新たな被処理体Wは、前回と同様に載置台5に保持される。
図4に示すタイミングチャートのように、載置台5に被処理体Wが載置されていないとき、第1バルブ26aは閉として、伝熱ガスの供給を停止する。一方、第2バルブ26bは開けられて、Nガス供給源28から供給された例えば、流量10SCCM程度のNガスが処理チャンバー2内に吐出される。この際、Nガスは、ヒートアップシステム31によって50℃〜250℃、好ましくは100℃前後に加温されて、ホットガスとして載置面上方に吐出されている。この時、図1に示すように、載置台5(載置面)を覆うように上方に加温されたNガスによる不活性ガス層Gが形成される。
このNガスによる不活性ガス層Gは、処理済みの被処理体Wが載置面を離れてから、次に処理を行う新たな被処理体Wが載置面に保持される直前まで行なわれる。特に、被処理体Wが、離れたり載置する際に、載置面で位置ずれを起さないように、被処理体W上面側と下面側との気圧差は100mtorr(13.3pa)以下にすることが望ましい。
また伝熱ガスと不活性ガス層Gとの関係は、図4に示すように、処理体Wが処理チャンバー2に搬入され、リフターピン33によって載置面に載置される直前に、第1バルブ26aが開けられてHeガスが伝熱ガス供給孔14から被処理体Wの裏面に供給される。このとき、第2バルブ26bが閉じられて、Nガスの供給は停止される。
そして、被処理体Wへの処理が終了して、被処理体Wがリフターピン33によって再び持ち上げられると共に、第2バルブ26bが開けられて、Nガスの供給が開始される。これと共に、第1バルブ26aは閉じられ、Heガスの供給が停止される。尚、第1バルブ26aを破線で示すように徐々に閉じてもよい。また、MFC24を用いてバッファタンク25へのHeガスの供給を遮断して、バルブ26aをある程度閉じた位置で固定し、バッファタンク25内の残圧でHeガスが伝熱ガス供給孔14に供給されるようにしても、Heガスの供給量を徐々に減ずることもできる。
前述したように本発明が解決する問題として、処理チャンバー2内では、プラズマによって削られて発生する重金属の微粒子が浮遊しており、冷却されている部分に付着するという現象が発生していた。
以上説明した第1の実施形態では、これを解決するものとして、露出した載置台5(載置面)を覆うように上方に加温されたNガスによる不活性ガス層Gを形成したため、載置台5の載置面に重金属の微粒子が付着するのを防止できる。従って、次に処理を行う新たな被処理体Wが載置台5に載置されたときに、その被処理体Wの裏面に重金属の微粒子が付着せず、被処理体Wへの汚染を防止すると共に、次に製造工程への悪影響を防止することができる。また、載置台5の伝熱ガス供給孔14からNガスを吹き出しているため、重金属の微粒子が伝熱ガス供給孔14内に進入して、その内壁に付着することも防止できる。
次に、第2の実施形態について説明する。
図5は、第2実施形態の伝熱ガス供給部及び不活性ガス供給部の概略的構成を示し、図6は、不活性ガス層の形成におけるバルブ開閉について説明するためのタイミングチャートを示している。この第2の実施形態の構成部位において、前述した第1の実施形態と同一構成部位には、同じ参照符号を付してその説明を省略する。
本実施形態は、1つのガス供給源と、冷却された伝熱ガスを処理チャンバー内に供給するための主ライン及び加熱された伝熱ガス(第1の実施形態の不活性ガスに相当する)を処理チャンバー内に供給するためバイパスラインとの2ラインにより構成されたガス供給経路を有している。
この実施形態では、伝熱ガスを供給する主ラインとして上流(ガス源)側から、He等の伝熱ガス供給源22、流量制御器(MFC)24、バッファタンク25、第1バルブ26a及び温調ユニット27が配置され、それぞれが伝熱ガス供給管23により接続されている。
また、MFC24とバッファタンク25の間から、第1バルブ26aと温調ユニット27との間には、バイパスライン35が設けられている。このバイパスライン35には、第2バルブ26b及びヒータユニット36が配置されている。
このような構成により、伝熱ガスは、第1バルブ26aが開けられ、第2バルブ26bが閉じられたときは、主ラインを通って伝熱ガス供給孔14に供給され、一方、第1バルブ26aが閉じて、第2バルブ26bが開けられたときは、バイパスライン35を通って伝熱ガス供給孔14に供給される。
図6のタイミングチャートに示すように、載置台5に被処理体Wが載置されていないとき、第1バルブ26aは閉じて、第2バルブ26bを開けられる。これらの開閉により、Heガス供給源22からのHeガスは、バイパスライン35を通って、加熱されて載置台5の伝熱ガス供給孔14から処理チャンバー2内へ吐出される。この時、Heガスはヒータユニット36によって、50℃〜250℃、好ましくは100℃前後まで加熱され、ホットガスとして載置台5から処理チャンバー2に吐出され、載置台5の上方に加温されたHeガスによるガス層が形成される。尚、ヒータユニット36は、常時駆動状態となるが、ランプヒータ等の加熱の立ち上がりがよいもの用いて、第2バルブ26bが開となると同時に、ヒータユニット36を駆動させてもよい。
従って、前述した第1の実施形態の効果と同等の効果が得られ、重金属の微粒子が載置台5(載置面)へ付着することが防止でき、牽いては被処理体Wへの汚染も防止することができる。
また、本実施形態では、Heガスを「伝熱ガス」と「載置台汚染防止のための不活性ガス」の両方に利用しているため、前述した第1の実施形態のように、Nガス供給源などの不活性ガス供給ラインを別途に設ける必要がなく、構成が簡易化して、装置のコスト的にもメリットがある。
次に、第3の実施形態について説明する。
図7は、第3の実施形態における半導体ウエハ等の被処理体をプラズマエッチング処理する処理装置の概略的全体構成を示す。この第3の実施形態の構成部位において、前述した第1の実施形態と同一構成部位には、同じ参照符号を付してその説明を省略する。
本実施形態は、載置台(下部電極)側だけではなく、載置台と対向する上部電極4側にも不活性ガス供給部22を設けた構成であり、上部電極4及び載置台5の両方から不活性ガスを同時に供給する構成である。
この不活性ガス供給部22は、プロセスガス供給部7とバルブ50で構成されるプロセスガス供給ラインに接続しており、バルブ50を経て上部電極4に接続されている。この不活性ガス供給部22は、上流(ガス源)側から、N等の不活性ガスガス供給源22、MFC19、ヒートアップシステム21及びバルブ49で構成される。ヒートアップシステム21は、ヒータ制御システムにより制御され、Nガスを加温して上部電極4に供給する。Nガスはヒートアップシステム21によって50℃〜250℃、好ましくは100℃前後に加温され、ホットガスとして上部電極4から処理チャンバー2内へ吐出される。
また、載置台5側における伝熱ガス供給部15、不活性ガス供給部16及び排気系46は、図1及び図3で示した第1の実施形態の構成と同様である。
次に、この第3の実施形態の処理装置にプラズマエッチング処理装置を適用した例における処理シーケンスについて説明する。
この第3の実施形態は、前述した第1の実施形態と同様に、処理チャンバー2内に被処理体Wを搬入して載置台5に載置した後、被処理体Wに対してエッチング処理を施す。その処理後に、排気系46により処理チャンバー2内の残留ガス等を排気する。排気が完了した後、リフターピン33で被処理体Wを持ち上げ、図示しない搬送アームへ渡す。
処理チャンバー2内から被処理体Wが持ち上げられた時、第1バルブ26aは閉じて、第2バルブ26b及びバルブ49,50が開けられる。これらのバルブ操作により、Heガスの供給は停止され、不活性ガス供給部16、22からそれぞれ加温されたNガスが処理チャンバー2内の載置台5及び上部電極4に同時に供給される。
載置台5側において、Nガス供給源28からのNガスがMFC29及びヒートアップシステム31により、流量が制限され且つ加温されて、伝熱ガス供給孔14を経て処理チャンバー2内へ吐出されて、載置台5の上方に加温されたNガスによる不活性ガス層が形成される。このNガスは、ヒートアップシステム31によって、50℃〜250℃、好ましくは100℃前後に加温される。
また同時に、上部電極4側においては、Nガス供給源18からのNガスがMFC19、ヒートアップシステム21により、流量が制限され且つ加温されて、上部電極4を経て処理チャンバー2内へ吐出されて、上部電極4の下方に加温されたNガスによる不活性ガス層が形成される。このNガスは、ヒートアップシステム21によって、50℃〜250℃、好ましくは100℃前後に加温され、ホットガスとして上部電極4から処理チャンバー2に吐出される。
以上のような構成により、処理チャンバー2の上方及び下方から所望温度に加温されたNガスが処理チャンバー2に供給されて、その内部(処理空間3)の略中間で衝突して周囲に流れるため、処理チャンバー2の内部に浮遊している重金属の微粒子が処理チャンバー2の外周側に押し出される状態となり、またそれぞれにNガスによる不活性ガス層が形成されるため、載置台5と上部電極4に重金属の微粒子が付着することを防止できる。
従って、載置台5の載置面においては、重金属の微粒子が付着することを防止でき、次に処理を行う新たな被処理体Wが載置台5に載置された時に、その被処理体Wの裏面に付着して被処理体Wを汚染することを防止することができる。また、上部電極においても載置台5の比べて、重金属の微粒子の付着量は少ないがさらに付着しにくくなる。
上部電極4の処理ガス供給孔6及び、載置台5の伝熱ガス供給孔14からNガスの供給は、双方とも被処理体Wが処理チャンバー2の内部から搬出されてから、次に処理を行うための新たな被処理体Wが搬入されるまで行なわれる。また、載置台5側におけるNガスの供給は、被処理体Wが搬入され、リフターピン33が下降して被処理体Wが載置面に載置される直前まで行なわれる。
また、図7に示すように処理チャンバー2の内側壁に赤外線ランプ40を設置する。これは、載置台5に被処理体Wが載置されていないときに、不活性ガスの供給とともに、赤外線ランプ40を点灯して載置台5及びその周辺を加温することを併用してもよく、また載置台5に電気ヒータを埋設してもよい。
図8は、この第3の実施形態の変形例を示して説明する。
ここでは、載置台5に関わる構成のみを示している。
この構成は、載置台5を冷却するチラー本体41と、冷却器42とを接続して冷媒を循環する冷媒循環ライン43にバイパス路44及び三方弁45を設けている。この載置台5に被処理体Wが載置されていないときに、三方弁45をバイパス路44に切り換えてチラー本体41から吐出する冷媒を破線矢印で示すようにバイパス路44にバイパスすることにより、載置台5の温度を徐々に上昇させる機能を併用してもよい。
また、ホットガスを載置台5の載置面に流す際、特に載置台5の温度が十分低い状態のときには、チラー本体41の動作を止めて、チラー本体41から冷媒が吐出しないようにしてもよい。これにより、チラー本体41から冷媒を吐出させて冷却器42による載置台5の冷却を行う場合に比べて、載置台5の昇温時間を短くすることができ、より迅速に加温されたNガスのガス層Gを形成することができるので、スループットの向上、省エネ化という効果がもたらされる。
次に、第4の実施形態について説明する。
この第4の実施形態は、不活性ガスを供給するタイミングに特徴を持たせたものである。処理チャンバー2内に被処理体Wが搬入されて載置台5上で所定の処理がなされて、真空処理チャンバー外に搬出されるまでの期間は細かく分けると、図9に示す第1例〜第5例ようなパターンとなる。
この図9において、横軸は、時間若しくは期間A〜Gを示し、縦軸は、不活性ガスのガス流量を示している。これらの期間においては、
期間Aは、真空処理チャンバーのゲートバルブが開けられ、搬送アームなどの搬送手段によって被処理体が真空処理チャンバーに搬入され始めるまでの期間
期間Bは、被処理体が載置台の真上まで移動される期間
期間Cは、被処理体が載置台に向かって降下させられ、載置台に接するまでの期間
期間Dは、被処理体が載置台に接した状態で所定の処理がなされる期間
期間Eは、被処理体が載置台から離され載置台真上まで上昇させられる期間
期間Fは、被処理体が搬送手段によって処理チャンバー外に搬出され、真空処理チャンバーのゲートバルブが閉められるまでの期間
期間Gは、ゲートバルブが閉められた後の期間
とする。
図9の(1)に示す第1例では、期間A及び期間Gで比較的大量の不活性ガスを被処理体表面近傍に供給する。期間B及び期間Fでは比較的少量の不活性ガスを供給する。期間A及び期間Gでは被処理体が処理チャンバー内に無いため、不活性ガスの気流が被処理体の搬送に悪影響を及ぼす心配が無いので比較的大きな流量を選択できるのである。
期間Cでは不活性ガスの供給量を徐々に減少させる。期間Dでは熱伝導のためのガス供給のみとする。期間Eでは不活性ガスの供給量を徐々に増加させる。
次に図9の(2)に示す第2例では、第1例と比較すれば、期間C及び期間Eにおいて、不活性ガスは吐出させずに、熱伝導ガスのみを吐出させている点が異なっている。期間C及び期間Eでは、被処理体が載置台の真上から下降若しくは上昇しているため、不活性ガスを流すと被処理体の位置ずれが発生する等のおそれがある。しかし、被処理体により載置台上の空間が限られるので、伝熱ガスを吐出させただけでも、重金属の微粒子を含むの気流が流入することをある程度防ぐことができる。
図9の(3)に示す第3例では、第1例と比較すれば、期間A−B間と期間F−G間の流量の変化が瞬間的に行われる点と、期間Cにおいてその期間の終了直前までの期間Bと実質的に同一量の不活性ガスが供給される点、期間Eの開始直後から期間Fと実質的に同一量の不活性ガスが供給される点が異なる。
図9の(4)に示す第4例では、第1例と比較すれば、期間A及び期間Gで不活性ガスが供給され、その他の期間では供給しない点が異なっている。この第4例は、被処理体が処理チャンバーの外にあって、載置台の上方に処理空間ができ、重金属による汚染が最も起きやすい期間にのみ不活性ガスを供給するものである。前述した各例においては、期間DではHeガスなどの伝熱ガスが載置台のガス流路から供給されている。また、不活性ガスが流される期間においては、不活性ガスの流量は期間Dにおける伝熱ガスの流量よりも大きいものとする。載置台表面近傍に供給される不活性ガスの温度は50℃〜250℃が好ましく、100℃前後が最も好ましいものとする。
図9の(5)に示す第5例は、載置台温度の平均化を図り、牽いては、被処理体における時間的な温度の均一性を図るものである。
この第5例は、期間B、Cにおいて、載置台の真上まで移動され、載置面に搭載されるまでの間に、期間Aの被処理体が無い状態よりも不活性ガスのガス流量を多くして、載置台の温度を一旦上昇させる。そして、期間Dにおいて、不活性ガスの供給を停止し、伝熱ガスのみの供給に切り換える。以降、期間E、F、Gは第1例と同様に、ガス供給を行う。期間Cから期間Dに移行した際に、一旦温度が上昇した載置台が伝熱ガスにより冷却されて温度が低下し、その後、プラズマを発生させるための高周波電力の印加に伴う発熱により温度が上昇するため、載置台はV字形状の温度特性となっている。
載置台にこのような温度特性を持たせることにより、載置台に載置された被処理体の温度をより早く所定の温度まで上昇させることができ、また、被処理体の温度を従来と比べてより時間的に均一にすることができる。
図10には、前述した実施形態に基づく実験例を示しており、縦軸は載置台に付着する重金属の微粒子の量(イットリア(Y)の原子数/cm2)であり、横軸のAは従来における載置台へのガスの供給を行なわない例、Bは本発明による載置台に常温のガスを供給した例、Cは本発明による載置台に約100℃に加温されたホットガスを供給した例を示している。イットリウム汚染量の測定は全反射蛍光X線(TXRF)及び気相分解/誘導結合型プラズマ法(VPD/ICP−MS)を用いた。
図10に示すように、従来の技術では、載置台5に重金属の微粒子の量は3×1012個が付着したのに対して、Bでは、5×1010個、Cでは、6×10個と百分の一や千分の一となっており、重金属の付着量が大幅に減少したことが解かる。
これは、載置台5に被処理体Wが載置されていないときに、伝熱ガス供給孔14からNガス等の不活性ガスを供給することにより、載置台5の載置面にガス層(エアカーテン)が形成され、浮遊する重金属の微粒子が侵入して付着することを防止している。さらに、Nガス等の不活性ガスを加温してホットガスとすることにより、載置台5に重金属の微粒子が侵入しようとしても、ホットガスによって再び蒸発し、さらに重金属の微粒子が侵入するのを防止している。
なお、前記各実施形態においては、被処理体をプラズマエッチング処理する処理装置について説明したが、この発明はあらゆる処理装置に適用できる。また、伝熱ガス及び不活性ガスは前記実施形態に限定されるものではなく、適宜変更可能である。
以上説明したように、この発明によれば、載置台の上部に不活性ガスのガス層を形成することにより、載置台の載置面に重金属の微粒子が付着するのを防止でき、次の被処理体が載置台に載置されたときに被処理体の裏面に転写して被処理体を汚染することを防止することができる。
さらに、不活性ガスを加温してホットガスとして吹き出すことにより、重金属の微粒子が載置台に付着しようとしても再び蒸発し、微粒子の付着を防止する効果が大きく、また、載置台の伝熱ガス供給孔から不活性ガスを吹き出すようにすることにより、処理チャンバーの内部に浮遊する重金属の微粒子が伝熱ガス供給孔の内部に付着するのを防止することができる。
産業上の利用可能性
本発明によれば、被処理体に対して、成膜やエッチングなどの処理を行う処理方法及び処理装置であって、被処理体が載置されていない載置台を覆うように不活性ガスのガス層を形成して、載置台の載置面上への重金属の微粒子の付着を防止し、次に処理を行う新たな被処理体が載置台に載置された際に、その新たな被処理体の裏面へ付着して、被処理体を汚染することを防止することができる。さらに、不活性ガスを加温してホットガスとして載置台の上方に吹き出すことにより、重金属の微粒子が載置台に付着しようとしても再び蒸発させて、微粒子の付着を防止し、また、処理チャンバー内に浮遊する重金属の微粒子が伝熱ガス供給孔の内部に付着することを防止することができる。
【図面の簡単な説明】
図1は、本発明の第1の実施形態における処理装置の概略的な構成を示す図である。
図2は、第1の実施形態における載置台の平面構成を示す図である。
図3は、第1の実施形態における伝熱ガス供給部及び不活性ガス供給部の概略的な構成を示す図である。
図4は、第1の実施形態におけるバルブ開閉を説明するためのタイミングチャートである。
図5は、本発明の第2の実施形態における伝熱ガス供給部及び不活性ガス供給部の概略的な構成を示す図である。図。
図6は、第2の実施形態におけるバルブ開閉を説明するためのタイミングチャートである。
図7は、本発明の第3の実施形態における処理装置の概略的な構成を示す図である。図。
図8は、本発明による変形例の概略的な構成を示す図である。
図9は、本発明の第4の実施形態におけるガス導入パターンを説明するためのタイミングチャートである。
図10は、従来技術と本発明による技術を比較した図である。

Claims (25)

  1. 減圧雰囲気の処理チャンバー内に設けられた載置台に被処理体を載置した状態で、前記被処理体に所定の処理を施す処理方法において、
    前記載置台に被処理体が載置されていないとき、前記載置台上方に不活性ガスを吐出させて、載置面を含む前記載置台を覆う不活性ガスからなるガス層を形成することを特徴とする処理方法。
  2. 前記不活性ガスは、加温されたホットガスであることを特徴とする請求項1記載の処理方法。
  3. 前記不活性ガスは、載置台に設けられた複数の伝熱ガス供給孔から吐出させることを特徴とする請求項1または2記載の処理方法。
  4. 減圧雰囲気の処理チャンバー内に設けられた載置台に被処理体を載置した状態で、前記被処理体に所定の処理を施す処理方法において、
    前記載置台に被処理体が載置されていないとき、前記載置台上方に不活性ガスを吐出させ、且つ前記処理チャンバー内で前記載置台と対向する上部電極から不活性ガスを吐出させることを特徴とする処理方法。
  5. 前記不活性ガスは、加温されたホットガスであることを特徴とする請求項4記載の処理方法。
  6. 前記不活性ガスは、載置台に設けられた複数の伝熱ガス供給孔と上部電極に設けられた複数の処理ガス供給孔から吐出させることを特徴とする請求項4または5記載の処理方法。
  7. ホットガスは、50℃〜250℃であることを特徴とする請求項2または5記載の処理方法。
  8. a)減圧雰囲気に維持可能な処理チャンバー内に被処理体を搬入し前記処理チャンバー内に設けられた載置台の上方に前記被処理体を移動する工程と、
    b)前記載置台表面に前記被処理体を置く工程と、
    c)第一の温度に制御された前記載置台表面に前記被処理体を置いた状態で、前記載置台に設けられたガス流路を通して前記載置台表面と被処理体との間の微小空間にガスを供給しながら前記被処理体表面をプラズマ雰囲気で処理する工程と、
    d)前記被処理体を前記載置台表面から上方へ離す工程と、
    e)前記被処理体を前記載置台の上方から前記処理チャンバー外に搬出する工程と、
    を有するプラズマ処理方法であって、
    少なくとも工程a)及び工程e)の期間中、不活性ガスを前記載置台表面近傍に供給することを特徴とするプラズマ処理方法。
  9. 前記工程b)における前記載置台表面に前記被処理体が置かれる直前までの期間と、
    前記工程d)における前記載置台表面から前記被処理体が離れた直後以降の期間とにおいて、
    前記不活性ガスを前記載置台表面近傍に供給することを特徴とする請求項8記載のプラズマ処理方法。
  10. 前記不活性ガスは、
    前記載置台の前記ガス流路を通して供給されると共に、前記不活性ガスの流量は前記工程c)における伝熱ガスの流量よりも大であることを特徴とする請求項8または9記載のプラズマ処理方法。
  11. 前記不活性ガスは、前記載置台表面より上方に設けられたガス供給手段から供給されることを特徴とする請求項8〜10のいずれかに記載のプラズマ処理方法。
  12. 前記不活性ガスは、前記第一の温度よりも高温であることを特徴とする請求項8〜11のいずれかに記載のプラズマ処理方法。
  13. 前記不活性ガスの温度は、50℃〜250℃であることを特徴とする請求項12記載のプラズマ処理方法。
  14. 減圧雰囲気に維持可能な処理チャンバー内に被処理体を搬入し、前記処理チャンバー内に設けた載置台上に載置して所定の処理を施した後、前記被処理体を処理チャンバー外に搬出することを繰り返して複数の被処理体に処理を施す処理方法において、
    前記被処理体を処理チャンバー外に搬出してから次の被処理体を処理チャンバー内に搬入するまでの、処理チャンバー内に被処理体が存在しない期間、前記載置台表面近傍に実質的に重金属の粒子を含まない不活性ガスを第1の流量で供給することを特徴とする処理方法。
  15. 被処理体を処理チャンバー内に搬入してからその被処理体を処理チャンバー外に搬出するまでの期間であって、載置台上に被処理体が置かれていない期間においても前記載置台表面近傍に実質的に重金属の粒子を含まない不活性ガスを前記第1の流量よりも小なる第2の流量で供給することを特徴とする請求項14記載の処理方法。
  16. 減圧雰囲気の処理チャンバー内に処理ガスを導入することにより、被処理体に所定の処理を施す処理装置において、
    前記被処理体を載置するための、前記処理チャンバー内に設けられた載置台と、不活性ガスを前記載置台の載置面へ供給する第1のガス供給孔を有し、前記載置台に前記被処理体が載置されていないとき、前記載置台の載置面に前記不活性ガスのガス層を形成することを特徴とする処理装置。
  17. 前記不活性ガスは、ホットガスであることを特徴とする請求項16に記載の処理装置。
  18. 前記第1のガス供給孔は、前記被処理体を冷却する伝熱ガスの供給孔であることを特徴とする請求項16または請求項17に記載の処理装置。
  19. 前記不活性ガスを供給する第1の不活性ガス供給源は、前記伝熱ガスの供給源と別に設けられていることを特徴とする請求項16から請求項18までのいずれか一項に記載の処理装置。
  20. 前記不活性ガスを供給する第1の不活性ガス供給源は、
    前記伝熱ガスの供給源であることを特徴とする請求項16から請求項18までのいずれか一項に記載の処理装置。
  21. 前記第1の不活性ガス供給源から前記第1のガス供給孔までの間にヒーターを設けたことを特徴とする請求項16から請求項20までのいずれか一項に記載の処理装置。
  22. 前記載置台と相対して前記処理チャンバー内に設けられた上部電極と、第2の不活性ガス供給源からの不活性ガスを前記上部電極から前記処理チャンバー内に供給する第2のガス供給孔を有することを特徴とする請求項16から請求項20までのいずれか1項に記載の処理装置。
  23. 前記第2のガス供給孔は、前記処理チャンバー内に処理ガスを供給する処理ガス供給孔であることを特徴とする請求項22に記載の処理装置。
  24. 前記第2の不活性ガス供給源から前記第2のガス供給孔までの間にヒーターを設けたことを特徴とする請求項22または請求項23に記載の処理装置。
  25. 前記不活性ガスは、50℃から250℃に温調されることを特徴とする請求項23または請求項24に記載の処理装置。
JP2002564750A 2001-02-15 2002-02-15 被処理体の処理方法及びその処理装置 Expired - Lifetime JP4133333B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001038610 2001-02-15
JP2001038610 2001-02-15
PCT/JP2002/001279 WO2002065532A1 (fr) 2001-02-15 2002-02-15 Procede de traitement de piece et dispositif de traitement

Publications (2)

Publication Number Publication Date
JPWO2002065532A1 true JPWO2002065532A1 (ja) 2004-06-17
JP4133333B2 JP4133333B2 (ja) 2008-08-13

Family

ID=18901552

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002564750A Expired - Lifetime JP4133333B2 (ja) 2001-02-15 2002-02-15 被処理体の処理方法及びその処理装置

Country Status (4)

Country Link
US (2) US7615259B2 (ja)
JP (1) JP4133333B2 (ja)
CN (2) CN1322556C (ja)
WO (1) WO2002065532A1 (ja)

Families Citing this family (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4133333B2 (ja) * 2001-02-15 2008-08-13 東京エレクトロン株式会社 被処理体の処理方法及びその処理装置
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US7853477B2 (en) 2003-12-30 2010-12-14 O'shea Michael D RF-based electronic system and method for automatic cross-marketing promotional offers and check-outs
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7532310B2 (en) * 2004-10-22 2009-05-12 Asml Netherlands B.V. Apparatus, method for supporting and/or thermally conditioning a substrate, a support table, and a chuck
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
JP4486489B2 (ja) * 2004-12-22 2010-06-23 東京エレクトロン株式会社 処理方法及び処理装置
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP4804968B2 (ja) * 2006-03-16 2011-11-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
JP5138195B2 (ja) * 2006-09-26 2013-02-06 東京エレクトロン株式会社 伝熱ガス供給機構および伝熱ガス供給方法、ならびに基板処理装置および基板処理方法
US7740768B1 (en) * 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
KR101312292B1 (ko) * 2006-12-11 2013-09-27 엘아이지에이디피 주식회사 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
KR101432562B1 (ko) * 2007-12-31 2014-08-21 (주)소슬 기판 처리 장치 및 기판 처리 방법
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
CN101758420B (zh) * 2008-12-08 2016-04-20 香港科技大学 一种提供冷却的系统、装置及方法
WO2011072061A2 (en) * 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
CN102194651B (zh) * 2010-03-12 2013-03-27 中芯国际集成电路制造(上海)有限公司 一种半导体成膜装置装载腔
US8866271B2 (en) * 2010-10-07 2014-10-21 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method, substrate processing apparatus and semiconductor device
JP6203476B2 (ja) * 2011-03-08 2017-09-27 東京エレクトロン株式会社 基板温度制御方法及びプラズマ処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
BR112014002320A2 (pt) * 2011-08-05 2017-03-01 3M Innovative Properties Co sistemas e métodos para processar vapor
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6100564B2 (ja) * 2013-01-24 2017-03-22 東京エレクトロン株式会社 基板処理装置及び載置台
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10600621B2 (en) * 2016-03-30 2020-03-24 Tokyo Electron Limited Plasma electrode and plasma processing device
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN106531666A (zh) * 2016-11-22 2017-03-22 上海华力微电子有限公司 工艺腔室及半导体工艺设备
JP2018095916A (ja) * 2016-12-13 2018-06-21 株式会社日立国際電気 基板処理装置、リソグラフィ用テンプレートの製造方法、プログラム
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN108987323B (zh) * 2017-06-05 2020-03-31 北京北方华创微电子装备有限公司 一种承载装置及半导体加工设备
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10732615B2 (en) 2017-10-30 2020-08-04 Varian Semiconductor Equipment Associates, Inc. System and method for minimizing backside workpiece damage
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) * 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020120081A (ja) * 2019-01-28 2020-08-06 東京エレクトロン株式会社 基板処理装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN113340036B (zh) * 2020-09-16 2022-07-22 沅陵县土家王食品有限责任公司 一种水产品预包装用速冻机
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115537781A (zh) * 2022-10-27 2022-12-30 上海埃延半导体有限公司 一种弥漫层流反应腔体及控制方法

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
DE69006622T2 (de) * 1989-04-18 1994-07-14 Tokyo Electron Ltd Vorrichtung zum Plasmaverfahren.
EP0419930B1 (en) * 1989-09-26 1994-12-07 Applied Materials, Inc. Particulate contamination prevention scheme
US5324360A (en) * 1991-05-21 1994-06-28 Canon Kabushiki Kaisha Method for producing non-monocrystalline semiconductor device and apparatus therefor
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP3292540B2 (ja) * 1993-03-03 2002-06-17 東京エレクトロン株式会社 熱処理装置
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5456796A (en) * 1993-06-02 1995-10-10 Applied Materials, Inc. Control of particle generation within a reaction chamber
KR100326488B1 (ko) * 1993-06-21 2002-06-20 조셉 제이. 스위니 플라즈마화학기상증착법
JPH0774231A (ja) 1993-08-31 1995-03-17 Tokyo Electron Ltd 処理装置及びその使用方法
JPH07135200A (ja) 1993-11-11 1995-05-23 Tokyo Electron Ltd エッチング装置
JPH07249586A (ja) * 1993-12-22 1995-09-26 Tokyo Electron Ltd 処理装置及びその製造方法並びに被処理体の処理方法
JPH07211681A (ja) * 1994-01-19 1995-08-11 Hitachi Ltd 洗浄方法および洗浄装置
US5491603A (en) 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
JP3600271B2 (ja) * 1994-05-25 2004-12-15 東京エレクトロン株式会社 処理装置
US5552124A (en) 1994-06-22 1996-09-03 Applied Materials, Inc. Stationary focus ring for plasma reactor
JPH0982781A (ja) 1995-09-18 1997-03-28 Kokusai Electric Co Ltd 半導体製造装置
US6465043B1 (en) * 1996-02-09 2002-10-15 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
US6121163A (en) * 1996-02-09 2000-09-19 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
JPH09232290A (ja) * 1996-02-19 1997-09-05 Sony Corp 半導体製造装置
TW334609B (en) 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
KR100560049B1 (ko) * 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
JPH11330047A (ja) 1998-05-12 1999-11-30 Sony Corp エッチング装置及びエッチング方法
JP3507331B2 (ja) * 1998-05-20 2004-03-15 松下電器産業株式会社 基板温度制御方法及び装置
JPH11330056A (ja) * 1998-05-21 1999-11-30 Hitachi Ltd 電極のクリーニング方法
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
EP1073091A3 (en) * 1999-07-27 2004-10-06 Matsushita Electric Works, Ltd. Electrode for plasma generation, plasma treatment apparatus using the electrode, and plasma treatment with the apparatus
US6406545B2 (en) 1999-07-27 2002-06-18 Kabushiki Kaisha Toshiba Semiconductor workpiece processing apparatus and method
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
JP2001185542A (ja) 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US20040081439A1 (en) * 2000-05-04 2004-04-29 Applied Materials, Inc. Actively-controlled electrostatic chuck heater
JP2002009048A (ja) 2000-06-20 2002-01-11 Matsushita Electric Ind Co Ltd プラズマ処理装置のフォーカスリング
JP4559595B2 (ja) 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
US6844273B2 (en) * 2001-02-07 2005-01-18 Tokyo Electron Limited Precleaning method of precleaning a silicon nitride film forming system
JP4133333B2 (ja) * 2001-02-15 2008-08-13 東京エレクトロン株式会社 被処理体の処理方法及びその処理装置
US6528427B2 (en) * 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US6677167B2 (en) 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
WO2008007675A1 (fr) * 2006-07-11 2008-01-17 Tokyo Electron Limited procédé de formation de film, procédé de nettoyage, et dispositif de formation de film

Also Published As

Publication number Publication date
CN101038863B (zh) 2011-07-06
CN1491429A (zh) 2004-04-21
US20040099635A1 (en) 2004-05-27
JP4133333B2 (ja) 2008-08-13
US8287967B2 (en) 2012-10-16
US7615259B2 (en) 2009-11-10
WO2002065532A1 (fr) 2002-08-22
CN101038863A (zh) 2007-09-19
US20100015812A1 (en) 2010-01-21
CN1322556C (zh) 2007-06-20

Similar Documents

Publication Publication Date Title
JP4133333B2 (ja) 被処理体の処理方法及びその処理装置
JP3122617B2 (ja) プラズマ処理装置
JP2000195925A (ja) 基板処理装置
JP2001077088A (ja) プラズマ処理装置
JP2879887B2 (ja) プラズマ処理方法
JP2009152345A (ja) プラズマ処理装置およびプラズマ処理方法
US20130243550A1 (en) Substrate Processing Apparatus, Method of Processing Substrate, Method of Manufacturing Semiconductor Device and Non Transitory Computer Readable Recording Medium on which Program for Performing Method of Manufacturing Semiconductor Device is Recorded
JP4695297B2 (ja) 薄膜形成装置及びロードロックチャンバー
JP2019176031A (ja) プラズマ処理装置、及び被処理体の搬送方法
CN113265626A (zh) 成膜装置及成膜装置的水分去除方法
US9253862B2 (en) Plasma processing method and plasma processing apparatus
JP4473410B2 (ja) スパッタリング装置及び成膜方法
JP2869384B2 (ja) プラズマ処理方法
JPH07147311A (ja) 搬送アーム
JP2006245312A (ja) 半導体装置の製造方法
JP3193815B2 (ja) プラズマ処理装置およびその制御方法
KR102520358B1 (ko) 성막 장치 및 성막 장치의 수분 제거 방법
TWI781338B (zh) 真空處理裝置
JP3184666B2 (ja) プラズマ装置の運転方法
WO2020100400A1 (ja) 真空処理装置
KR20210008549A (ko) 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
KR100319468B1 (ko) 플라즈마 처리 방법
KR102653253B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP6067210B2 (ja) プラズマ処理装置
JPH02270320A (ja) 表面処理方法および装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050215

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080221

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080527

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080602

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4133333

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140606

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term