JP4133333B2 - 被処理体の処理方法及びその処理装置 - Google Patents
被処理体の処理方法及びその処理装置 Download PDFInfo
- Publication number
- JP4133333B2 JP4133333B2 JP2002564750A JP2002564750A JP4133333B2 JP 4133333 B2 JP4133333 B2 JP 4133333B2 JP 2002564750 A JP2002564750 A JP 2002564750A JP 2002564750 A JP2002564750 A JP 2002564750A JP 4133333 B2 JP4133333 B2 JP 4133333B2
- Authority
- JP
- Japan
- Prior art keywords
- mounting table
- processed
- gas
- processing
- inert gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000012545 processing Methods 0.000 title claims description 154
- 238000000034 method Methods 0.000 title claims description 35
- 239000007789 gas Substances 0.000 claims description 214
- 239000011261 inert gas Substances 0.000 claims description 94
- 238000012546 transfer Methods 0.000 claims description 79
- 229910001385 heavy metal Inorganic materials 0.000 claims description 29
- 238000003672 processing method Methods 0.000 claims description 20
- 238000007599 discharging Methods 0.000 claims description 9
- 239000000470 constituent Substances 0.000 claims description 5
- 238000001816 cooling Methods 0.000 claims description 5
- 239000000758 substrate Substances 0.000 claims description 3
- 239000002923 metal particle Substances 0.000 claims description 2
- 239000010419 fine particle Substances 0.000 description 26
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 10
- 230000000694 effects Effects 0.000 description 8
- 238000010586 diagram Methods 0.000 description 7
- 238000001020 plasma etching Methods 0.000 description 7
- 239000003507 refrigerant Substances 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 238000011144 upstream manufacturing Methods 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000007664 blowing Methods 0.000 description 3
- 238000011109 contamination Methods 0.000 description 3
- 230000003247 decreasing effect Effects 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 230000002411 adverse Effects 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 229910003439 heavy metal oxide Inorganic materials 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- 229910015844 BCl3 Inorganic materials 0.000 description 1
- 210000000078 claw Anatomy 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 238000001095 inductively coupled plasma mass spectrometry Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 239000000463 material Substances 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 229910052755 nonmetal Inorganic materials 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 239000000843 powder Substances 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 230000007723 transport mechanism Effects 0.000 description 1
- 238000004876 x-ray fluorescence Methods 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Drying Of Semiconductors (AREA)
Description
【発明の属する技術分野】
この発明は、半導体ウエハ等の被処理体に対して成膜処理やエッチング処理を施す処理方法及びその処理装置に関する。
【0002】
【従来の技術】
半導体装置の製造工程において、例えば、特開平7−321184号公報に示すように、被処理体の表面上に新たな膜を成膜する、または既に積層されている膜をエッチングする処理装置が知られている。
【0003】
この処理装置は、アルミニウム等からなる処理チャンバーを搭載している。この処理チャンバー内には、被処理体を載置し下部電極を兼ねた載置台と、これに対向する上部電極とが設けられている。前記処理装置は、処理チャンバー内を排気により減圧した後、載置台に載置される被処理体を所定の温度に制御しながら、その処理面に処理ガスを吹き付ける。この状態で、上部電極には、例えば60MHz、下部電極(載置台)には13.56MHzの高周波をそれぞれ印加させて処理ガスをプラズマ化し、被処理体に対して所定のエッチング処理を施している。
【0004】
この載置台には、冷却ジャケットが設けられており、載置される被処理体を所望の温度、例えば−100℃まで冷却することができる。さらに、載置台の載置面には複数の伝熱ガス供給孔が開口されている。そして、被処理体を載置した状態で、これらの伝熱ガス供給孔から所望の温度に冷却されたヘリウム(He)等の伝熱ガスを供給して、被処理体への伝熱効率の向上を図っている。
【0005】
また、特開平7−74231号公報に開示されるように、載置台には上下方向に移動する複数のリフターピンが設けられ、被処理体の搬入・搬出時にはそれらのリフターピンが上下することにより、載置台と搬送機構との被処理体の受け渡しを容易にしている。これらのリフターピンは、1つのベースプレートへ一体的に取り付けられており、このベースプレートは、導入機構を介して処理チャンバー外部に取り付けられた上下駆動用エアシリンダの駆動により昇降する。
【0006】
【発明が解決しようとする課題】
しかし、前述した処理チャンバー内には、上下電極の他に、プラズマを集中させるためのフォーカスリング等の金属または非金属部品からなる多数の構成部品が搭載されている。これらの構成部品は、処理チャンバー内に露出している部分がプラズマによって徐々に削れてしまうため、所定期間経過後には交換する必要がある。しかし、生産性の面から見て、メンテナンスにかかる時間や回数を少なくするためには、これらの交換頻度をできるだけ少なくする必要がある。
【0007】
この対策として、フォーカスリング等の構成部品を、削れにくい材質、例えばイットリア(Y203)やジルコニア(ZrO)等の重金属の酸化物によって、製作することが試みられている。その作成方法としては、バルク部品は粉末から焼結したり、アルミニウムを母材とした部品にイットリア(Y203)をコーティング処理したりしている。また、処理チャンバー内面においても、コーティング処理を施してプラズマによる削れを抑制させる部分も設けている。
【0008】
しかしながら、重金属の酸化物によって構成部品を製作したとしてもプラズマに晒していることによって僅かながら削られており、その重金属の微粒子が処理チャンバー内に浮遊している状態が多く見られる。
【0009】
また、重金属の微粒子の周辺にはプラズマから由来している他の気化物が浮遊しているため、この気化物が冷却されると、凝縮して同時に近傍の重金属の微粒子を取り込み、冷却されている部分に付着するという現象が起きる。被処理体を載置する載置台は、前述したように冷却ジャケットで低温度に冷却されているため、被処理体が載置されていないときに露出している載置台の載置面に重金属を含む微粒子が堆積する。
【0010】
その後、新たな被処理体が載置台に載置されると、堆積された重金属を含む微粒子が、その被処理体の裏面に付着して、汚染された被処理体が次の工程に持ち出されるという問題が発生する。
【0011】
本発明は、処理チャンバーの内部に設けられた載置台に被処理体が載置されていないとき、その載置面に重金属を含む微粒子が付着するのを防止し、被処理体が汚染されるのを防止することができる処理方法及び処理装置を提供することを目的とする。
【0012】
【課題を解決するための手段】
本発明は、前記目的を達成するために、減圧雰囲気の処理チャンバー内に設けられた載置台に被処理体を載置した状態で、前記被処理体に所定の処理を施す処理方法において、
前記載置台に被処理体が載置されていないとき、前記載置台上方に不活性ガスを吐出させて、載置面を含む前記載置台を覆うように、加温されたホットガスである前記不活性ガスからなるガス層を形成する被処理体の処理方法を提供する。
また、減圧雰囲気の処理チャンバー内に処理ガスを導入することにより、被処理体に所定の処理を施す処理装置において、前記被処理体を載置するための、前記処理チャンバー内に設けられた載置台と、不活性ガスを前記載置台の載置面へ供給する第1のガス供給孔を有し、前記載置台に前記被処理体が載置されていないとき、前記載置台の載置面を覆うように、加温されたホットガスである不活性ガスのガス層を形成する被処理体の処理装置を提供する。
【0013】
【発明の実施の形態】
以下、図面を参照して本発明の実施形態について詳細に説明する。
【0014】
図1は、第1の実施形態における半導体ウエハ等の被処理体へプラズマエッチング処理を施す処理装置の概略的全体構成を示し、図2は処理チャンバー内の載置台の平面構成を示す。また、図3は伝熱ガス供給部及び不活性ガス供給部の概略的構成を示し、図4は、不活性ガス層の形成におけるバルブ開閉について説明するためのタイミングチャートを示している。
【0015】
本実施形態の処理装置本体1には、アルミニウム等の導電性材料からなり、真空状態を維持できるような密閉度を持つ箱状の処理チャンバー2が搭載される。この処理チャンバー2内でプラズマにさらされる内壁面上にはイットリア(Y203)コーティングが施されている。
【0016】
処理チャンバー2内の上面には、上部電極4が設けられ、底部には半導体ウエハ等の被処理体Wを載置する下部電極を兼ねた載置台5が対向して設けられている。上部電極4は、ガス配管を通じてプロセスガス供給部7に接続されており、また処理チャンバー内にガスを吐出するための複数の処理ガス供給孔6が開口されている。載置台5は、処理チャンバー内に露出する表面が例えば、アルマイト処理された凸型円盤形状のアルミニウムからなり、その載置面に被処理体Wが載置される。さらに、載置台5は処理チャンバー2の底部とは絶縁体8を介して固定され、電気的に分離されている。また載置台5内部には給電板9が設けられている。この給電板9は、装置本体1に設置された高周波電源10に接続されており、載置台5に高周波電圧を印加することにより、両電極間にプラズマを発生させるようになっている。この処理チャンバー2内の上部電極4と載置台5との間の空間が被処理体の処理空間3となる。
【0017】
また、載置台5の外周上には、環状のフォーカスリング11が配置されている。このフォーカスリング11は、反応性イオンを被処理体Wに集中させるために使用され、例えばイットリア(Y203)等の重金属の酸化物によって形成されている。さらに、載置面よりも下方の処理チャンバー2の側壁には、チャンバ内を排気するための排気口12が設けられ、排気口12には排気管47及びバルブ48を介して排気系46が接続されている。また、処理チャンバー2の側壁には、被処理体Wを搬入搬出するためのゲート口37が開口され、ゲートバルブ38により開閉される。
【0018】
図2に示すように、載置台5にはリフターピン用の複数の貫通孔13及び伝熱ガスを供給するための複数の伝熱ガス供給孔14が設けられている。これらの伝熱ガス供給孔14は、後述する伝熱ガス供給部15及び不活性ガス供給部16に接続されている。本実施形態では、載置台5上に被処理体Wを保持させるためのチャック部として、静電チャック17を採用しており、載置面上に静電チャック17が設けられている。勿論、被処理体Wを爪などにより機械的に保持するメカニカル・チャックを用いてもよい。
【0019】
前記上部電極4に接続されたプロセスガス供給部7は、例えばC12ガス、C4F6ガス及びBCl3ガスを、図示しない流量制御器(マスフローコントローラ:MFC)やバルブ等を用いて、処理内容に応じて、それぞれ流量制御して上部電極4へ供給する。
【0020】
次に、載置台5に接続された伝熱ガス供給部15及び不活性ガス供給部16について説明する。
【0021】
図3に示すように、伝熱ガス供給部15は、流量と温度が制御されたヘリウムガス(He)等の伝熱ガスを供給するためのガス供給ラインである。このガスラインは、上流(ガス源)側から、伝熱ガスを供給するための伝熱ガス供給源22、ガス流量を制御する流量制御器(MFC)24、一時的にガスをストックするバッファタンク25、供給を遮断するための第1バルブ26a及び温調ユニット27が配置され、これらの間を伝熱ガス供給管23でそれぞれ接続されている。この温調ユニット27は、伝熱ガスを所望の温度まで冷却するための温調部と制御器により構成され、伝熱ガスが所定の温度になるように制御して、伝熱ガス供給孔14へ供給する。伝熱ガスは、被処理体Wの裏面と静電チャック17との間の微小空間に供給されて、載置台5と被処理体Wとの間の伝熱効果を高めている。
【0022】
また、不活性ガス供給部16は、流量と温度が制御された窒素ガス(N2)等の不活性ガスを供給するためのガス供給ラインである。このガスラインは、上流(ガス源)側から、N2ガスを供給するためのN2ガス供給源28、供給を遮断するための第2バルブ26b、ガス流量を制御するMFC29及びヒートアップシステム31が配置され、ガス導入管32によりそれぞれが接続されている。このヒートアップシステム31は、ガス導入管32により伝熱ガス供給管23とバルブ26aとの間に接続している。そして、ヒートアップシステム31は、ヒータ制御システム30に制御されてN2ガスを加温して、伝熱ガス供給管23を経て伝熱ガス供給孔14に供給する。尚、N2ガスは、ヒートアップシステム31によって50℃〜250℃、好ましくは100℃前後に加温され、ホットガスとして載置台5から処理チャンバー2に吹き出される。
【0023】
さらに、載置台5には例えば、4つの孔13が形成されており、各孔内には移動可能に各1本ずつリフターピン33が収納され、これらのリフターピン33は、昇降可能な1つのリフターピン支持機構(図示しない)に固定されて載置面より突き出すように構成されている。勿論、リフターピン33は4本に限定されるものではなく、設計に従って変更可能である。被処理体Wの搬入・搬出時には、4本のリフターピン33によって被処理体Wを載置台5から持ち上げて浮かせた状態で図示しない搬送機構の搬送アームとの受け渡しを行うようになっている。
【0024】
次に、第1の実施形態において、処理装置にプラズマエッチング処理装置を適用した例における処理シーケンスについて説明する。
【0025】
まず、処理チャンバー2内に被処理体Wを搬入する際に、ゲート口37を開口させて被処理体Wを保持する搬送アームを外部(搬送チャンバー等)から処理チャンバー2内へ進入させ載置台5上方で停止させる。その後、リフターピン33を孔13から載置面の上方へ突き出し、被処理体Wを持ち上げて搬送アームから受け取る。
【0026】
搬送アームが退避した後、リフターピン33をスムーズに下降させて、被処理体Wを載置面に載置させると共に、被処理体Wを静電チャック17により載置面に保持させ、それと同時にゲート口37を閉口する。
【0027】
この保持の後、伝熱ガス供給源22からヘリウムガス(He)等の伝熱ガスを流量制御器24で流量制御しつつ流出させて、バッファタンク25及び第1バルブ26aを経て温調ユニット27に流す。温調ユニット27では、伝熱ガスを所定の温度(冷却を行うための温度)になるように制御して、伝熱ガス供給孔14から吐出させる。これにより、伝熱ガスが被処理体Wの裏面と静電チャック17との間の微小空間に供給されることとなり、載置台5と被処理体Wとの伝熱効果が高められる。
【0028】
次に、排気系を駆動させて、排気口12から処理チャンバー2内の気体を排気し、さらに、プロセスガス供給部から所定のプロセスガス、例えば、CF4及び02をそれぞれ300SCCM及び85SCCMずつ処理空間3に供給する。そして、処理チャンバー2内を所定の圧力、例えば350mtorr(46.55Pa)程度に維持させる。
【0029】
次に、高周波電源10から高周波電圧を載置台5へ印加して、上部電極4と載置台5との間の処理空間3内にプラズマを発生させて、被処理体Wのプラズマエッチング処理を行う。そして、プラズマエッチング処理が完了した後、排気系により所定時間で残留ガス等を処理チャンバー2外へ排気する。その際、伝熱ガスとして使用されたHeガスも十分に排気させる。この後、処理チャンバー内の圧力をゲート口を開口してもよい圧力まで調整する。
【0030】
そして、リフターピン33を上昇させて載置台5から被処理体Wを持ち上げて浮かせた状態にする。ゲート口37を開口して、進入してきた搬送アームへ被処理体Wを渡す。搬送アームは、被処理体Wを処理チャンバー2外に搬出し、次に処理を行うための新たな被処理体Wを処理チャンバー2内に搬入する。この新たな被処理体Wは、前回と同様に載置台5に保持される。
【0031】
図4に示すタイミングチャート のように、載置台5に被処理体Wが載置されていないとき、第1バルブ26aは閉として、伝熱ガスの供給を停止する。一方、第2バルブ26bは開けられて、N2ガス供給源28から供給された例えば、流量10SCCM程度のN2ガスが処理チャンバー2内に吐出される。この際、N2ガスは、ヒートアップシステム31によって50℃〜250℃、好ましくは100℃前後に加温されて、ホットガスとして載置面上方に吐出されている。この時、図1に示すように、載置台5(載置面)を覆うように上方に加温されたN2ガスによる不活性ガス層Gが形成される。
【0032】
このN2ガスによる不活性ガス層Gは、処理済みの被処理体Wが載置面を離れてから、次に処理を行う新たな被処理体Wが載置面に保持される直前まで行なわれる。特に、被処理体Wが、離れたり、載置する際に、載置面で位置ずれを起さないように、被処理体W上面側と下面側との気圧差は100mtorr(13.3pa)以下にすることが望ましい。
【0033】
また伝熱ガスと不活性ガス層Gとの関係は、図4に示すように、処理体Wが処理チャンバー2に搬入され、リフターピン33によって載置面に載置される直前に、第1バルブ26aが開けられてHeガスが伝熱ガス供給孔14から被処理体Wの裏面に供給される。このとき、第2バルブ26bが閉じられて、N2ガスの供給は停止される。
【0034】
そして、被処理体Wへの処理が終了して、被処理体Wがリフターピン33によって再び持ち上げられると共に、第2バルブ26bが開けられて、N2ガスの供給が開始される。これと共に、第1バルブ26aは閉じられ、Heガスの供給が停止される。尚、第1バルブ26aを破線で示すように徐々に閉じてもよい。また、MFC24を用いてバッファタンク25へのHeガスの供給を遮断して、バルブ26aをある程度閉じた位置で固定し、バッファタンク25内の残圧でHeガスが伝熱ガス供給孔14に供給されるようにしても、Heガスの供給量を徐々に減ずることもできる。
【0035】
前述したように本発明が解決する問題として、処理チャンバー2内では、プラズマによって削られて発生する重金属の微粒子が浮遊しており、冷却されている部分に付着するという現象が発生していた。
【0036】
以上説明した第1の実施形態では、これを解決するものとして、露出した載置台5(載置面)を覆うように上方に加温されたN2ガスによる不活性ガス層Gを形成したため、載置台5の載置面に重金属の微粒子が付着するのを防止できる。従って、次に処理を行う新たな被処理体Wが載置台5に載置されたときに、その被処理体Wの裏面に重金属の微粒子が付着せず、被処理体Wへの汚染を防止すると共に、次に製造工程への悪影響を防止することができる。また、載置台5の伝熱ガス供給孔14からN2ガスを吹き出しているため、重金属の微粒子が伝熱ガス供給孔14内に進入して、その内壁に付着することも防止できる。
【0037】
次に、第2の実施形態について説明する。
【0038】
図5は、第2実施形態の伝熱ガス供給部及び不活性ガス供給部の概略的構成を示し、図6は、不活性ガス層の形成におけるバルブ開閉について説明するためのタイミングチャートを示している。この第2の実施形態の構成部位において、前述した第1の実施形態と同一構成部位には、同じ参照符号を付してその説明を省略する。
【0039】
本実施形態は、1つのガス供給源と、冷却された伝熱ガスを処理チャンバー内に供給するための主ライン及び加熱された伝熱ガス(第1の実施形態の不活性ガスに相当する)を処理チャンバー内に供給するためバイパスラインとの2ラインにより構成されたガス供給経路を有している。
【0040】
この実施形態では、伝熱ガスを供給する主ラインとして上流(ガス源)側から、He等の伝熱ガス供給源22、流量制御器(MFC)24、バッファタンク25、第1バルブ26a及び温調ユニット27が配置され、それぞれが伝熱ガス供給管23により接続されている。
【0041】
また、MFC24とバッファタンク25の間から、第1バルブ26aと温調ユニット27との間には、バイパスライン35が設けられている。このバイパスライン35には、第2バルブ26b及びヒータユニット36が配置されている。
【0042】
このような構成により、伝熱ガスは、第1バルブ26aが開けられ、第2バルブ26bが閉じられたときは、主ラインを通って伝熱ガス供給孔14に供給され、一方、第1バルブ26aが閉じて、第2バルブ26bが開けられたときは、バイパスライン35を通って伝熱ガス供給孔14に供給される。
【0043】
図6のタイミングチャートに示すように、載置台5に被処理体Wが載置されていないとき、第1バルブ26aは閉じて、第2バルブ26bを開けられる。これらの開閉により、Heガス供給源22からのHeガスは、バイパスライン35を通って、加熱されて載置台5の伝熱ガス供給孔14から処理チャンバー2内へ吐出される。この時、Heガスはヒータユニット36によって、50℃〜250℃、好ましくは100℃前後まで加熱され、ホットガスとして載置台5から処理チャンバー2に吐出され、載置台5の上方に加温されたHeガスによるガス層が形成される。尚、ヒータユニット36は、常時駆動状態となるが、ランプヒータ等の加熱の立ち上がりがよいものを用いて、第2バルブ26bが開となると同時に、ヒータユニット36を駆動させてもよい。
【0044】
従って、前述した第1の実施形態の効果と同等の効果が得られ、重金属の微粒子が載置台5(載置面)へ付着することが防止でき、牽いては被処理体Wへの汚染も防止することができる。
【0045】
また、本実施形態では、Heガスを「伝熱ガス」と「載置台汚染防止のための不活性ガス」の両方に利用しているため、前述した第1の実施形態のように、N2ガス供給源などの不活性ガス供給ラインを別途に設ける必要がなく、構成が簡易化して、装置のコスト的にもメリットがある。
【0046】
次に、第3の実施形態について説明する。
【0047】
図7は、第3の実施形態における半導体ウエハ等の被処理体をプラズマエッチング処理する処理装置の概略的全体構成を示す。この第3の実施形態の構成部位において、前述した第1の実施形態と同一構成部位には、同じ参照符号を付してその説明を省略する。
【0048】
本実施形態は、載置台(下部電極)側だけではなく、載置台と対向する上部電極4側にも不活性ガス供給部22を設けた構成であり、上部電極4及び載置台5の両方から不活性ガスを同時に供給する構成である。
【0049】
この不活性ガス供給部22は、プロセスガス供給部7とバルブ50で構成されるプロセスガス供給ラインに接続しており、バルブ50を経て上部電極4に接続されている。この不活性ガス供給部22は、上流(ガス源)側から、N2等の不活性ガスガス供給源22、MFC19、ヒートアップシステム21及びバルブ49で構成される。ヒートアップシステム21は、ヒータ制御システムにより制御され、N2ガスを加温して上部電極4に供給する。N2ガスはヒートアップシステム21によって50℃〜250℃、好ましくは100℃前後に加温され、ホットガスとして上部電極4から処理チャンバー2内へ吐出される。
【0050】
また、載置台5側における伝熱ガス供給部15、不活性ガス供給部16及び排気系46は、図1及び図3で示した第1の実施形態の構成と同様である。
【0051】
次に、この第3の実施形態の処理装置にプラズマエッチング処理装置を適用した例における処理シーケンスについて説明する。
【0052】
この第3の実施形態は、前述した第1の実施形態と同様に、処理チャンバー2内に被処理体Wを搬入して載置台5に載置した後、被処理体Wに対してエッチング処理を施す。その処理後に、排気系46により処理チャンバー2内の残留ガス等を排気する。排気が完了した後、リフターピン33で被処理体Wを持ち上げ、図示しない搬送アームヘ渡す。
【0053】
処理チャンバー2内から被処理体Wが持ち上げられた時、第1バルブ26aは閉じて、第2バルブ26b及びバルブ49,50が開けられる。これらのバルブ操作により、Heガスの供給は停止され、不活性ガス供給部16、22からそれぞれ加温されたN2ガスが処理チャンバー2内の載置台5及び上部電極4に同時に供給される。
【0054】
載置台5側において、N2ガス供給源28からのN2ガスがMFC29及びヒートアップシステム31により、流量が制限され且つ加温されて、伝熱ガス供給孔14を経て処理チャンバー2内へ吐出されて、載置台5の上方に加温されたN2ガスによる不活性ガス層が形成される。このN2ガスは、ヒートアップシステム31によって、50℃〜250℃、好ましくは100℃前後に加温される。
【0055】
また同時に、上部電極4側においては、N2ガス供給源18からのN2ガスがMFC19、ヒートアップシステム21により、流量が制限され且つ加温されて、上部電極4を経て処理チャンバー2内へ吐出されて、上部電極4の下方に加温されたN2ガスによる不活性ガス層が形成される。このN2ガスは、ヒートアップシステム21によって、50℃〜250℃、好ましくは100℃前後に加温され、ホットガスとして上部電極4から処理チャンバー2に吐出される。
【0056】
以上のような構成により、処理チャンバー2の上方及び下方から所望温度に加温されたN2ガスが処理チャンバー2に供給されて、その内部(処理空間3)の略中間で衝突して周囲に流れるため、処理チャンバー2の内部に浮遊している重金属の微粒子が処理チャンバー2の外周側に押し出される状態となり、またそれぞれにN2ガスによる不活性ガス層が形成されるため、載置台5と上部電極4に重金属の微粒子が付着することを防止できる。
【0057】
従って、載置台5の載置面においては、重金属の微粒子が付着することを防止でき、次に処理を行う新たな被処理体Wが載置台5に載置された時に、その被処理体Wの裏面に付着して被処理体Wを汚染することを防止することができる。また、上部電極においても載置台5に比べて、重金属の微粒子の付着量は少ないがさらに付着しにくくなる。
【0058】
上部電極4の処理ガス供給孔6及び、載置台5の伝熱ガス供給孔14からN2ガスの供給は、双方とも被処理体Wが処理チャンバー2の内部から搬出されてから、次に処理を行うための新たな被処理体Wが搬入されるまで行なわれる。また、載置台5側におけるN2ガスの供給は、被処理体Wが搬入され、リフターピン33が下降して被処理体Wが載置面に載置される直前まで行なわれる。
【0059】
また、図7に示すように処理チャンバー2の内側壁に赤外線ランプ40を設置する。これは、載置台5に被処理体Wが載置されていないときに、不活性ガスの供給とともに、赤外線ランプ40を点灯して載置台5及びその周辺を加温することを併用してもよく、また載置台5に電気ヒータを埋設してもよい。
【0060】
図8は、この第3の実施形態の変形例を示して説明する。
【0061】
ここでは、載置台5に関わる構成のみを示している。
【0062】
この構成は、載置台5を冷却するチラー本体41と、冷却器42とを接続して冷媒を循環する冷媒循環ライン43にバイパス路44及び三方弁45を設けている。この載置台5に被処理体Wが載置されていないときに、三方弁45をバイパス路44に切り換えてチラー本体41から吐出する冷媒を破線矢印で示すようにバイパス路44にバイパスすることにより、載置台5の温度を徐々に上昇させる機能を併用してもよい。
【0063】
また、ホットガスを載置台5の載置面に流す際、特に載置台5の温度が十分低い状態のときには、チラー本体41の動作を止めて、チラー本体41から冷媒が吐出しないようにしてもよい。これにより、チラー本体41から冷媒を吐出させて冷却器42による載置台5の冷却を行う場合に比べて、載置台5の昇温時間を短くすることができ、より迅速に加温されたN2ガスのガス層Gを形成することができるので、スループットの向上、省エネ化という効果がもたらされる。
【0064】
次に、第4の実施形態について説明する。
【0065】
この第4の実施形態は、不活性ガスを供給するタイミングに特徴を持たせたものである。処理チャンバー2内に被処理体Wが搬入されて載置台5上で所定の処理がなされて、真空処理チャンバー外に搬出されるまでの期間は細かく分けると、図9に示す第1例〜第5例のようなパターンとなる。
【0066】
この図9において、横軸は、時間若しくは期間A〜Gを示し、縦軸は、不活性ガスのガス流量を示している。これらの期間においては、
期間Aは、真空処理チャンバーのゲートバルブが開けられ、搬送アームなどの搬送手段によって被処理体が真空処理チャンバーに搬入され始めるまでの期間
期間Bは、被処理体が載置台の真上まで移動される期間
期間Cは、被処理体が載置台に向かって降下させられ、載置台に接するまでの期間
期間Dは、被処理体が載置台に接した状態で所定の処理がなされる期間
期間Eは、被処理体が載置台から離され載置台真上まで上昇させられる期間 期間Fは、被処理体が搬送手段によって処理チャンバー外に搬出され、真空処理チャンバーのゲートバルブが閉められるまでの期間
期間Gは、ゲートバルブが閉められた後の期間
とする。
【0067】
図9の(1)に示す第1例では、期間A及び期間Gで比較的大量の不活性ガスを被処理体表面近傍に供給する。期間B及び期間Fでは比較的少量の不活性ガスを供給する。期間A及び期間Gでは被処理体が処理チャンバー内に無いため、不活性ガスの気流が被処理体の搬送に悪影響を及ぼす心配が無いので比較的大きな流量を選択できるのである。
【0068】
期間Cでは不活性ガスの供給量を徐々に減少させる。期間Dでは熱伝導のためのガス供給のみとする。期間Eでは不活性ガスの供給量を徐々に増加させる。
【0069】
次に図9の(2)に示す第2例では、第1例と比較すれば、期間C及び期間Eにおいて、不活性ガスは吐出させずに、熱伝導ガスのみを吐出させている点が異なっている。期間C及び期間Eでは、被処理体が載置台の真上から下降若しくは上昇しているため、不活性ガスを流すと被処理体の位置ずれが発生する等のおそれがある。しかし、被処理体により載置台上の空間が限られるので、伝熱ガスを吐出させただけでも、重金属の微粒子を含む気流が流入することをある程度防ぐことができる。
【0070】
図9の(3)に示す第3例では、第1例と比較すれば、期間A−B間と期間F−G間の流量の変化が瞬間的に行われる点と、期間Cにおいてその期間の終了直前までの期間Bと実質的に同一量の不活性ガスが供給される点、期間Eの開始直後から期間Fと実質的に同一量の不活性ガスが供給される点が異なる
図9の(4)に示す第4例では、第1例と比較すれば、期間A及び期間Gで不活性ガスが供給され、その他の期間では供給しない点が異なっている。この第4例は、被処理体が処理チャンバーの外にあって、載置台の上方に処理空間ができ、重金属による汚染が最も起きやすい期間にのみ不活性ガスを供給するものである。前述した各例においては、期間DではHeガスなどの伝熱ガスが載置台のガス流路から供給されている。また、不活性ガスが流される期間においては、不活性ガスの流量は期間Dにおける伝熱ガスの流量よりも大きいものとする。載置台表面近傍に供給される不活性ガスの温度は50℃〜250℃が好ましく、100℃前後が最も好ましいものとする。
【0071】
図9の(5)に示す第5例は、載置台温度の平均化を図り、牽いては、被処理体における時間的な温度の均一性を図るものである。
【0072】
この第5例は、期間B、Cにおいて、載置台の真上まで移動され、載置面に搭載されるまでの間に、期間Aの被処理体が無い状態よりも不活性ガスのガス流量を多くして、載置台の温度を一旦上昇させる。そして、期間Dにおいて、不活性ガスの供給を停止し、伝熱ガスのみの供給に切り換える。以降、期間E、F、Gは第1例と同様に、ガス供給を行う。期間Cから期間Dに移行した際に、一旦温度が上昇した載置台が伝熱ガスにより冷却されて温度が低下し、その後、プラズマを発生させるための高周波電力の印加に伴う発熱により温度が上昇するため、載置台はV字形状の温度特性となっている。
【0073】
載置台にこのような温度特性を持たせることにより、載置台に載置された被処理体の温度をより早く所定の温度まで上昇させることができ、また、被処理体の温度を従来と比べてより時間的に均一にすることができる。
【0074】
図10には、前述した実施形態に基づく実験例を示しており、縦軸は載置台に付着する重金属の微粒子の量(イットリア(Y203)の原子数/cm2)であり、横軸のAは従来における載置台へのガスの供給を行なわない例、Bは本発明による載置台に常温のガスを供給した例、Cは本発明による載置台に約100℃に加温されたホットガスを供給した例を示している。イットリウム汚染量の測定は全反射蛍光X線(TXRF)及び気相分解/誘導結合型プラズマ法(VPD/ICP−MS)を用いた。
【0075】
図10に示すように、従来の技術では、載置台5に重金属の微粒子の量は3×1012個が付着したのに対して、Bでは、5×1010個、Cでは、6×109個と百分の一や千分の一となっており、重金属の付着量が大幅に減少したことが解かる。
【0076】
これは、載置台5に被処理体Wが載置されていないときに、伝熱ガス供給孔14からN2ガス等の不活性ガスを供給することにより、載置台5の載置面にガス層(エアカーテン)が形成され、浮遊する重金属の微粒子が侵入して付着することを防止している。さらに、N2ガス等の不活性ガスを加温してホットガスとすることにより、載置台5に重金属の微粒子が侵入しようとしても、ホットガスによって再び蒸発し、さらに重金属の微粒子が侵入するのを防止している。
【0077】
なお、前記各実施形態においては、被処理体をプラズマエッチング処理する処理装置について説明したが、この発明はあらゆる処理装置に適用できる。また、伝熱ガス及び不活性ガスは前記実施形態に限定されるものではなく、適宜変更可能である。
【0078】
【発明の効果】
以上説明したように、この発明によれば、載置台の上部に不活性ガスのガス層を形成することにより、載置台の載置面に重金属の微粒子が付着するのを防止でき、次の被処理体が載置台に載置されたときに被処理体の裏面に転写して被処理体を汚染することを防止することができる。
【0079】
さらに、不活性ガスを加温してホットガスとして吹き出すことにより、重金属の微粒子が載置台に付着しようとしても再び蒸発し、微粒子の付着を防止する効果が大きく、また、載置台の伝熱ガス供給孔から不活性ガスを吹き出すようにすることにより、処理チャンバーの内部に浮遊する重金属の微粒子が伝熱ガス供給孔の内部に付着するのを防止することができる。
【0080】
本発明によれば、被処理体に対して、成膜やエッチングなどの処理を行う処理方法及び処理装置であって、被処理体が載置されていない載置台を覆うように不活性ガスのガス層を形成して、載置台の載置面上への重金属の微粒子の付着を防止し、次に処理を行う新たな被処理体が載置台に載置された際に、その新たな被処理体の裏面へ付着して、被処理体を汚染することを防止することができる。さらに、不活性ガスを加温してホットガスとして載置台の上方に吹き出すことにより、重金属の微粒子が載置台に付着しようとしても再び蒸発させて、微粒子の付着を防止し、また、処理チャンバー内に浮遊する重金属の微粒子が伝熱ガス供給孔の内部に付着することを防止することができる。
【図面の簡単な説明】
【図1】 図1は、本発明の第1の実施形態における処理装置の概略的な構成を示す図である。
【図2】 図2は、第1の実施形態における載置台の平面構成を示す図である。
【図3】 図3は、第1の実施形態における伝熱ガス供給部及び不活性ガス供給部の概略的な構成を示す図である。
【図4】 図4は、第1の実施形態におけるバルブ開閉を説明するためのタイミングチャートである。
【図5】 図5は、本発明の第2の実施形態における伝熱ガス供給部及び不活性ガス供給部の概略的な構成を示す図である。図。
【図6】 図6は、第2の実施形態におけるバルブ開閉を説明するためのタイミングチャートである。
【図7】 図7は、本発明の第3の実施形態における処理装置の概略的な構成を示す図である。図。
【図8】 図8は、本発明による変形例の概略的な構成を示す図である。
【図9】 図9は、本発明の第4の実施形態におけるガス導入パターンを説明するためのタイミングチャートである。
【図10】 図10は、従来技術と本発明による技術を比較した図である。
Claims (28)
- 減圧雰囲気の処理チャンバー内に設けられた載置台に被処理体を載置した状態で、前記被処理体に所定の処理を施す処理方法において、
前記載置台に被処理体が載置されていないとき、前記載置台上方に不活性ガスを吐出させて、載置面を含む前記載置台を覆うように、加温されたホットガスである前記不活性ガスからなるガス層を形成することを特徴とする被処理体の処理方法。 - 前記ホットガスは、50℃〜250℃であることを特徴とする請求項1に記載の被処理体の処理方法。
- 前記不活性ガスは、載置台に設けられた複数の伝熱ガス供給孔から吐出させることを特徴とする請求項1に記載の被処理体の処理方法。
- 減圧雰囲気の処理チャンバー内に設けられた載置台に被処理体を載置した状態で、前記被処理体に所定の処理を施す処理方法において、
前記載置台に被処理体が載置されていないとき、前記載置台上方に不活性ガスを吐出させ、且つ前記処理チャンバー内で前記載置台と対向する上部電極から加温されたホットガスである不活性ガスを吐出させることを特徴とする被処理体の処理方法。 - 前記不活性ガスは、載置台に設けられた複数の伝熱ガス供給孔と上部電極に設けられた複数の処理ガス供給孔から吐出させることを特徴とする請求項4に記載の被処理体の処理方法。
- 前記ホットガスは、50℃〜250℃であることを特徴とする請求項4に記載の被処理体の処理方法。
- a)減圧雰囲気に維持可能な処理チャンバー内に被処理体を搬入し前記処理チャンバー内に設けられた載置台の上方に前記被処理体を移動する工程と、
b)前記載置台表面に前記被処理体を置く工程と、
c)第一の温度に制御された前記載置台表面に前記被処理体を置いた状態で、前記載置台に設けられたガス流路を通して前記載置台表面と被処理体との間の微小空間にガスを供給しながら前記被処理体表面をプラズマ雰囲気で処理する工程と、
d)前記被処理体を前記載置台表面から上方へ離す工程と、
e)前記被処理体を前記載置台の上方から前記処理チャンバー外に搬出する工程と、を有するプラズマ処理方法であって、
少なくとも工程a)及び工程e)の期間中、前記第一の温度よりも高温な不活性ガスを前記載置台表面近傍に供給することを特徴とする被処理体の処理方法。 - 前記工程b)における前記載置台表面に前記被処理体が置かれる直前までの期間と、
前記工程d)における前記載置台表面から前記被処理体が離れた直後以降の期間と、において、
前記不活性ガスを前記載置台表面近傍に供給することを特徴とする請求項7に記載の被処理体の処理方法。 - 前記不活性ガスは、
前記載置台の前記ガス流路を通して供給されると共に、前記不活性ガスの流量は前記工程c)における伝熱ガスの流量よりも大であることを特徴とする請求項7に記載の被処理体の処理方法。 - 前記不活性ガスは、前記載置台表面より上方に設けられたガス供給手段から供給されることを特徴とする請求項7に記載の被処理体の処理方法。
- 前記不活性ガスの温度は、50℃〜250℃であることを特徴とする請求項7記載の被処理体の処理方法。
- 前記工程a)〜工程e)の期間において、
上記被処理体を移動させる前記工程a)及び工程e)の期間に、前記載置台表面近傍に実質的に重金属の粒子を含まない不活性ガスを、前記工程b)〜工程d)に流す第1の流量よりも大なる第2の流量で供給することを特徴とする請求項7記載の被処理体の処理方法。 - 減圧雰囲気に維持可能な処理チャンバー内に被処理体を搬入し、前記処理チャンバー内に設けた載置台上に載置して所定の処理を施した後、前記被処理体を処理チャンバー外に搬出することを繰り返して、複数の被処理体に処理を施す処理方法において、
前記処理チャンバー内の電極から不活性ガスを供給する工程と、
ガス源と前記電極間に設けられたバイパス回路を経由して前記電極から加温された不活性ガスを供給する工程と、
を交互に行うことを特徴とする被処理体の処理方法。 - 前記加温された不活性ガスを供給する工程において、
前記不活性ガスは前記バイパス回路に設けられたヒータユニットにより加温されることを特徴とする請求項13に記載の被処理体の処理方法。 - 前記被処理体が前記載置台に載置されていないときに、前記処理チャンバー内の電極から不活性ガスを供給する工程と、
ガス源と前記電極間に設けられたバイパス回路を経由して前記電極から加温された不活性ガスを供給する工程と、
を切り換えることを特徴とする請求項13に記載の被処理体の処理方法。 - 減圧雰囲気に維持可能な処理チャンバー内に被処理体を搬入し、前記処理チャンバー内に設けた載置台上に載置して、ガス供給孔から供給されたガスを含む雰囲気中で所定の処理を施した後、前記被処理体を処理チャンバー外に搬出することを繰り返して複数の被処理体に処理を施す処理方法において、
前記載置台に被処理体が載置されていないときに、前記チャンバー内に設けられた前記ガス供給孔の周辺部上又は/及び、該ガス供給孔から供給されたガスが滞留又は通過する該チャンバー内に配置される構成部材上に、加温されたホットガスである前記不活性ガスからなるガス層を形成することを特徴とする被処理体の処理方法。 - 減圧雰囲気の処理チャンバー内に設けられた載置台に被処理体を載置した状態で、前記被処理体に所定の処理を施す処理装置において、
前記被処理体を載置するための、前記処理チャンバー内に設けられた載置台と、不活性ガスを前記載置台の載置面へ供給する第1のガス供給孔を有し、
前記載置台に被処理体が載置されていないとき、前記載置台上方に不活性ガスを吐出させ、且つ前記処理チャンバー内で前記載置台と対向する上部電極から加温されたホットガスである不活性ガスを吐出させることを特徴とする被処理体の処理装置。 - 前記ホットガスは、50℃から250℃に温調されることを特徴とする請求項17に記載の被処理体の処理装置。
- 第2の不活性ガス供給源からの不活性ガスを前記上部電極から前記処理チャンバー内に供給する第2のガス供給孔を有し、
前記第2の不活性ガス供給源から前記第2のガス供給孔までの間にヒータを設けたことを特徴とする請求項17又は18に記載の被処理体の処理装置。 - 減圧雰囲気の処理チャンバー内に処理ガスを導入することにより、被処理体に所定の処理を施す処理装置において、
前記被処理体を載置するための、前記処理チャンバー内に設けられた載置台と、不活性ガスを前記載置台の載置面へ供給する第1のガス供給孔を有し、前記載置台に前記被処理体が載置されていないとき、前記載置台の載置面を覆うように、加温されたホットガスである不活性ガスのガス層を形成することを特徴とする被処理体の処理装置。 - 前記ホットガスは、50℃から250℃に温調されることを特徴とする請求項20に記載の被処理体の処理装置。
- 前記第1のガス供給孔は、前記被処理体を冷却する伝熱ガスの供給孔であることを特徴とする請求項20に記載の被処理体の処理装置。
- 前記不活性ガスを供給する第1の不活性ガス供給源は、前記伝熱ガスの供給源と別に設けられていることを特徴とする請求項20に記載の被処理体の処理装置。
- 前記不活性ガスを供給する第1の不活性ガス供給源は、
前記伝熱ガスの供給源であることを特徴とする請求項20に記載の被処理体の処理装置。 - 前記第1の不活性ガス供給源から前記第1のガス供給孔までの間にヒータを設けたことを特徴とする請求項20に記載の処理装置。
- 前記載置台と相対して前記処理チャンバー内に設けられた上部電極と、第2の不活性ガス供給源からの不活性ガスを前記上部電極から前記処理チャンバー内に供給する第2のガス供給孔を有することを特徴とする請求項20に記載の被処理体の処理装置。
- 前記第2のガス供給孔は、前記処理チャンバー内に処理ガスを供給する処理ガス供給孔であることを特徴とする請求項26に記載の被処理体の処理装置。
- 前記第2の不活性ガス供給源から前記第2のガス供給孔までの間にヒータを設けたことを特徴とする請求項26に記載の被処理体の処理装置。
Applications Claiming Priority (3)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| JP2001038610 | 2001-02-15 | ||
| JP2001038610 | 2001-02-15 | ||
| PCT/JP2002/001279 WO2002065532A1 (fr) | 2001-02-15 | 2002-02-15 | Procede de traitement de piece et dispositif de traitement |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| JPWO2002065532A1 JPWO2002065532A1 (ja) | 2004-06-17 |
| JP4133333B2 true JP4133333B2 (ja) | 2008-08-13 |
Family
ID=18901552
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| JP2002564750A Expired - Lifetime JP4133333B2 (ja) | 2001-02-15 | 2002-02-15 | 被処理体の処理方法及びその処理装置 |
Country Status (4)
| Country | Link |
|---|---|
| US (2) | US7615259B2 (ja) |
| JP (1) | JP4133333B2 (ja) |
| CN (2) | CN101038863B (ja) |
| WO (1) | WO2002065532A1 (ja) |
Cited By (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2020120081A (ja) * | 2019-01-28 | 2020-08-06 | 東京エレクトロン株式会社 | 基板処理装置 |
Families Citing this family (290)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP4133333B2 (ja) * | 2001-02-15 | 2008-08-13 | 東京エレクトロン株式会社 | 被処理体の処理方法及びその処理装置 |
| US7390755B1 (en) | 2002-03-26 | 2008-06-24 | Novellus Systems, Inc. | Methods for post etch cleans |
| US20040261946A1 (en) | 2003-04-24 | 2004-12-30 | Tokyo Electron Limited | Plasma processing apparatus, focus ring, and susceptor |
| US7853477B2 (en) | 2003-12-30 | 2010-12-14 | O'shea Michael D | RF-based electronic system and method for automatic cross-marketing promotional offers and check-outs |
| US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
| US7532310B2 (en) * | 2004-10-22 | 2009-05-12 | Asml Netherlands B.V. | Apparatus, method for supporting and/or thermally conditioning a substrate, a support table, and a chuck |
| US8193096B2 (en) * | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
| JP4486489B2 (ja) * | 2004-12-22 | 2010-06-23 | 東京エレクトロン株式会社 | 処理方法及び処理装置 |
| US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
| JP4804968B2 (ja) * | 2006-03-16 | 2011-11-02 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
| US7718032B2 (en) * | 2006-06-22 | 2010-05-18 | Tokyo Electron Limited | Dry non-plasma treatment system and method of using |
| JP5138195B2 (ja) * | 2006-09-26 | 2013-02-06 | 東京エレクトロン株式会社 | 伝熱ガス供給機構および伝熱ガス供給方法、ならびに基板処理装置および基板処理方法 |
| US7740768B1 (en) * | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
| KR101312292B1 (ko) * | 2006-12-11 | 2013-09-27 | 엘아이지에이디피 주식회사 | 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법 |
| US8435895B2 (en) | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
| KR101432562B1 (ko) * | 2007-12-31 | 2014-08-21 | (주)소슬 | 기판 처리 장치 및 기판 처리 방법 |
| US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
| US8893519B2 (en) * | 2008-12-08 | 2014-11-25 | The Hong Kong University Of Science And Technology | Providing cooling in a machining process using a plurality of activated coolant streams |
| US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
| US8721797B2 (en) * | 2009-12-11 | 2014-05-13 | Novellus Systems, Inc. | Enhanced passivation process to protect silicon prior to high dose implant strip |
| CN102194651B (zh) * | 2010-03-12 | 2013-03-27 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体成膜装置装载腔 |
| US8866271B2 (en) * | 2010-10-07 | 2014-10-21 | Hitachi Kokusai Electric Inc. | Semiconductor device manufacturing method, substrate processing apparatus and semiconductor device |
| JP6203476B2 (ja) * | 2011-03-08 | 2017-09-27 | 東京エレクトロン株式会社 | 基板温度制御方法及びプラズマ処理装置 |
| US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
| KR102040758B1 (ko) | 2011-08-05 | 2019-11-05 | 쓰리엠 이노베이티브 프로퍼티즈 캄파니 | 증기 처리 시스템 및 방법 |
| US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
| US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
| JP6100564B2 (ja) * | 2013-01-24 | 2017-03-22 | 東京エレクトロン株式会社 | 基板処理装置及び載置台 |
| US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
| JP5859583B2 (ja) * | 2014-01-30 | 2016-02-10 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
| US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
| US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
| US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
| US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
| US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
| US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
| US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
| US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
| US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
| JP6632714B2 (ja) * | 2016-03-30 | 2020-01-22 | 東京エレクトロン株式会社 | プラズマ電極およびプラズマ処理装置 |
| US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
| US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
| US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
| US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
| US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
| US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
| US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
| KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
| CN106531666A (zh) * | 2016-11-22 | 2017-03-22 | 上海华力微电子有限公司 | 工艺腔室及半导体工艺设备 |
| JP2018095916A (ja) * | 2016-12-13 | 2018-06-21 | 株式会社日立国際電気 | 基板処理装置、リソグラフィ用テンプレートの製造方法、プログラム |
| KR102762543B1 (ko) | 2016-12-14 | 2025-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
| US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
| US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
| US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
| US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
| US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
| CN108987323B (zh) * | 2017-06-05 | 2020-03-31 | 北京北方华创微电子装备有限公司 | 一种承载装置及半导体加工设备 |
| US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
| US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
| KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
| US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
| US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
| TWI815813B (zh) | 2017-08-04 | 2023-09-21 | 荷蘭商Asm智慧財產控股公司 | 用於分配反應腔內氣體的噴頭總成 |
| US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
| US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
| US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
| US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
| US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
| US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
| US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
| US10732615B2 (en) * | 2017-10-30 | 2020-08-04 | Varian Semiconductor Equipment Associates, Inc. | System and method for minimizing backside workpiece damage |
| US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
| CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
| US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
| US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
| TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
| KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
| US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
| US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
| EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
| US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
| KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
| US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
| US11473195B2 (en) * | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
| US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
| KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
| US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| KR102600229B1 (ko) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
| US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
| TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
| US12272527B2 (en) | 2018-05-09 | 2025-04-08 | Asm Ip Holding B.V. | Apparatus for use with hydrogen radicals and method of using same |
| KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
| US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
| TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
| US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
| KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
| US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
| TWI871083B (zh) | 2018-06-27 | 2025-01-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
| JP7515411B2 (ja) | 2018-06-27 | 2024-07-12 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
| US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
| US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
| US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
| US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
| US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
| CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
| US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
| KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
| KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
| KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
| US12378665B2 (en) | 2018-10-26 | 2025-08-05 | Asm Ip Holding B.V. | High temperature coatings for a preclean and etch apparatus and related methods |
| US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
| KR102748291B1 (ko) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
| US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
| US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
| US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
| US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
| KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
| US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
| TWI874340B (zh) | 2018-12-14 | 2025-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
| TWI866480B (zh) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
| KR102727227B1 (ko) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
| TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
| TWI873122B (zh) | 2019-02-20 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
| KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
| TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
| KR102858005B1 (ko) | 2019-03-08 | 2025-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
| US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
| KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
| KR102809999B1 (ko) | 2019-04-01 | 2025-05-19 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
| US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
| KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
| KR102869364B1 (ko) | 2019-05-07 | 2025-10-10 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
| KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
| KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
| JP7598201B2 (ja) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
| JP7612342B2 (ja) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
| USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
| USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
| KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
| KR20200141931A (ko) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
| KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
| USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
| KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
| JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
| CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
| KR102895115B1 (ko) | 2019-07-16 | 2025-12-03 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| KR102860110B1 (ko) | 2019-07-17 | 2025-09-16 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
| KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
| US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
| TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
| CN112242295B (zh) | 2019-07-19 | 2025-12-09 | Asmip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
| CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
| CN112309899B (zh) | 2019-07-30 | 2025-11-14 | Asmip私人控股有限公司 | 基板处理设备 |
| CN112309900B (zh) | 2019-07-30 | 2025-11-04 | Asmip私人控股有限公司 | 基板处理设备 |
| KR20210015655A (ko) | 2019-07-30 | 2021-02-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 방법 |
| US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
| US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
| US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
| KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
| KR20210018761A (ko) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법 |
| USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
| USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
| JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
| USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
| KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
| USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
| USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
| KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
| US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
| KR102806450B1 (ko) | 2019-09-04 | 2025-05-12 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
| KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| US12469693B2 (en) | 2019-09-17 | 2025-11-11 | Asm Ip Holding B.V. | Method of forming a carbon-containing layer and structure including the layer |
| US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
| CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
| TW202128273A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體注入系統、及將材料沉積於反應室內之基板表面上的方法 |
| KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
| TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
| KR102879443B1 (ko) | 2019-10-10 | 2025-11-03 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
| US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
| TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
| US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
| KR102845724B1 (ko) | 2019-10-21 | 2025-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
| KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
| US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
| KR102890638B1 (ko) | 2019-11-05 | 2025-11-25 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
| US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
| KR102861314B1 (ko) | 2019-11-20 | 2025-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
| US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
| CN112951697B (zh) | 2019-11-26 | 2025-07-29 | Asmip私人控股有限公司 | 基板处理设备 |
| CN112885693B (zh) | 2019-11-29 | 2025-06-10 | Asmip私人控股有限公司 | 基板处理设备 |
| CN112885692B (zh) | 2019-11-29 | 2025-08-15 | Asmip私人控股有限公司 | 基板处理设备 |
| JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
| KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
| JP7703317B2 (ja) | 2019-12-17 | 2025-07-07 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法 |
| KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
| JP7730637B2 (ja) | 2020-01-06 | 2025-08-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
| TWI887322B (zh) | 2020-01-06 | 2025-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
| US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
| KR102882467B1 (ko) | 2020-01-16 | 2025-11-05 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
| KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
| TWI889744B (zh) | 2020-01-29 | 2025-07-11 | 荷蘭商Asm Ip私人控股有限公司 | 污染物捕集系統、及擋板堆疊 |
| TWI871421B (zh) | 2020-02-03 | 2025-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 包括釩或銦層的裝置、結構及其形成方法、系統 |
| KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
| US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
| TW202146691A (zh) | 2020-02-13 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法 |
| KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
| US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
| TWI895326B (zh) | 2020-02-28 | 2025-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 專用於零件清潔的系統 |
| KR20210113043A (ko) | 2020-03-04 | 2021-09-15 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 정렬 고정구 |
| KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
| KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
| KR102775390B1 (ko) | 2020-03-12 | 2025-02-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
| US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
| KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
| TWI887376B (zh) | 2020-04-03 | 2025-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 半導體裝置的製造方法 |
| TWI888525B (zh) | 2020-04-08 | 2025-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
| KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
| KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
| US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
| US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
| TW202143328A (zh) | 2020-04-21 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於調整膜應力之方法 |
| KR102866804B1 (ko) | 2020-04-24 | 2025-09-30 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
| KR20210132612A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 화합물들을 안정화하기 위한 방법들 및 장치 |
| CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
| KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
| TW202208671A (zh) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括硼化釩及磷化釩層的結構之方法 |
| KR102783898B1 (ko) | 2020-04-29 | 2025-03-18 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
| KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
| JP7726664B2 (ja) | 2020-05-04 | 2025-08-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
| KR20210137395A (ko) | 2020-05-07 | 2021-11-17 | 에이에스엠 아이피 홀딩 비.브이. | 불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법 |
| JP7736446B2 (ja) | 2020-05-07 | 2025-09-09 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同調回路を備える反応器システム |
| KR102788543B1 (ko) | 2020-05-13 | 2025-03-27 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
| TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
| TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
| KR102795476B1 (ko) | 2020-05-21 | 2025-04-11 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
| KR20210145079A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 플랜지 및 장치 |
| KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
| TW202212650A (zh) | 2020-05-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積含硼及鎵的矽鍺層之方法 |
| TWI876048B (zh) | 2020-05-29 | 2025-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
| TW202208659A (zh) | 2020-06-16 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積含硼之矽鍺層的方法 |
| KR20210158809A (ko) | 2020-06-24 | 2021-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘이 구비된 층을 형성하는 방법 |
| TWI873359B (zh) | 2020-06-30 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| TWI896694B (zh) | 2020-07-01 | 2025-09-11 | 荷蘭商Asm Ip私人控股有限公司 | 沉積方法、半導體結構、及沉積系統 |
| KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
| KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
| TWI878570B (zh) | 2020-07-20 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
| KR20220011092A (ko) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 |
| US12322591B2 (en) | 2020-07-27 | 2025-06-03 | Asm Ip Holding B.V. | Thin film deposition process |
| KR20220021863A (ko) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
| US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
| TW202228863A (zh) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 清潔基板的方法、選擇性沉積的方法、及反應器系統 |
| US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
| TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
| KR20220033997A (ko) | 2020-09-10 | 2022-03-17 | 에이에스엠 아이피 홀딩 비.브이. | 갭 충진 유체를 증착하기 위한 방법 그리고 이와 관련된 시스템 및 장치 |
| USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
| CN113340036B (zh) * | 2020-09-16 | 2022-07-22 | 沅陵县土家王食品有限责任公司 | 一种水产品预包装用速冻机 |
| KR20220036866A (ko) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물 증착 방법 |
| USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
| TWI889903B (zh) | 2020-09-25 | 2025-07-11 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
| US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
| KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
| CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
| TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
| KR102873665B1 (ko) | 2020-10-15 | 2025-10-17 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치 |
| TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
| TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
| TW202229620A (zh) | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
| TW202229795A (zh) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 具注入器之基板處理設備 |
| TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
| KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
| US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
| TW202233884A (zh) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成臨限電壓控制用之結構的方法 |
| US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
| TW202232639A (zh) | 2020-12-18 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 具有可旋轉台的晶圓處理設備 |
| TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
| TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
| TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
| USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
| USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
| USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
| USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
| JP7386348B2 (ja) * | 2021-06-21 | 2023-11-24 | 株式会社日立ハイテク | プラズマ処理装置 |
| USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
| USD1099184S1 (en) | 2021-11-29 | 2025-10-21 | Asm Ip Holding B.V. | Weighted lift pin |
| USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
| CN115537781B (zh) * | 2022-10-27 | 2024-10-22 | 上海埃延半导体有限公司 | 一种弥漫层流反应腔体及控制方法 |
Family Cites Families (47)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US4960488A (en) * | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
| US4872947A (en) * | 1986-12-19 | 1989-10-10 | Applied Materials, Inc. | CVD of silicon oxide using TEOS decomposition and in-situ planarization process |
| KR0156244B1 (ko) * | 1989-04-18 | 1998-12-01 | 고다까 토시오 | 플라즈마 처리방법 |
| DE69014799T2 (de) * | 1989-09-26 | 1995-08-03 | Applied Materials Inc | Methode zur Verhinderung einer Partikel-Verunreinigung. |
| US5324360A (en) * | 1991-05-21 | 1994-06-28 | Canon Kabushiki Kaisha | Method for producing non-monocrystalline semiconductor device and apparatus therefor |
| US5534072A (en) * | 1992-06-24 | 1996-07-09 | Anelva Corporation | Integrated module multi-chamber CVD processing system and its method for processing subtrates |
| JP3292540B2 (ja) * | 1993-03-03 | 2002-06-17 | 東京エレクトロン株式会社 | 熱処理装置 |
| US5695568A (en) * | 1993-04-05 | 1997-12-09 | Applied Materials, Inc. | Chemical vapor deposition chamber |
| US5456796A (en) * | 1993-06-02 | 1995-10-10 | Applied Materials, Inc. | Control of particle generation within a reaction chamber |
| JPH07142416A (ja) * | 1993-06-21 | 1995-06-02 | Applied Materials Inc | 改良された界面を有する層のプラズマ化学蒸着法 |
| JPH0774231A (ja) | 1993-08-31 | 1995-03-17 | Tokyo Electron Ltd | 処理装置及びその使用方法 |
| JPH07135200A (ja) | 1993-11-11 | 1995-05-23 | Tokyo Electron Ltd | エッチング装置 |
| JPH07249586A (ja) * | 1993-12-22 | 1995-09-26 | Tokyo Electron Ltd | 処理装置及びその製造方法並びに被処理体の処理方法 |
| JPH07211681A (ja) * | 1994-01-19 | 1995-08-11 | Hitachi Ltd | 洗浄方法および洗浄装置 |
| US5491603A (en) | 1994-04-28 | 1996-02-13 | Applied Materials, Inc. | Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer |
| JP3600271B2 (ja) * | 1994-05-25 | 2004-12-15 | 東京エレクトロン株式会社 | 処理装置 |
| US5552124A (en) | 1994-06-22 | 1996-09-03 | Applied Materials, Inc. | Stationary focus ring for plasma reactor |
| JPH0982781A (ja) | 1995-09-18 | 1997-03-28 | Kokusai Electric Co Ltd | 半導体製造装置 |
| US6121163A (en) * | 1996-02-09 | 2000-09-19 | Applied Materials, Inc. | Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface |
| US6465043B1 (en) * | 1996-02-09 | 2002-10-15 | Applied Materials, Inc. | Method and apparatus for reducing particle contamination in a substrate processing chamber |
| JPH09232290A (ja) * | 1996-02-19 | 1997-09-05 | Sony Corp | 半導体製造装置 |
| TW334609B (en) | 1996-09-19 | 1998-06-21 | Hitachi Ltd | Electrostatic chuck, method and device for processing sanyle use the same |
| US5849092A (en) * | 1997-02-25 | 1998-12-15 | Applied Materials, Inc. | Process for chlorine trifluoride chamber cleaning |
| KR100560049B1 (ko) * | 1997-05-10 | 2006-05-25 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 성막방법 |
| US6132552A (en) * | 1998-02-19 | 2000-10-17 | Micron Technology, Inc. | Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor |
| JPH11330047A (ja) | 1998-05-12 | 1999-11-30 | Sony Corp | エッチング装置及びエッチング方法 |
| JP3507331B2 (ja) * | 1998-05-20 | 2004-03-15 | 松下電器産業株式会社 | 基板温度制御方法及び装置 |
| JPH11330056A (ja) * | 1998-05-21 | 1999-11-30 | Hitachi Ltd | 電極のクリーニング方法 |
| US6125025A (en) | 1998-09-30 | 2000-09-26 | Lam Research Corporation | Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors |
| US6406545B2 (en) | 1999-07-27 | 2002-06-18 | Kabushiki Kaisha Toshiba | Semiconductor workpiece processing apparatus and method |
| EP1073091A3 (en) * | 1999-07-27 | 2004-10-06 | Matsushita Electric Works, Ltd. | Electrode for plasma generation, plasma treatment apparatus using the electrode, and plasma treatment with the apparatus |
| US6466426B1 (en) * | 1999-08-03 | 2002-10-15 | Applied Materials Inc. | Method and apparatus for thermal control of a semiconductor substrate |
| JP2001185542A (ja) | 1999-12-27 | 2001-07-06 | Hitachi Ltd | プラズマ処理装置及びそれを用いたプラズマ処理方法 |
| JP2001230239A (ja) | 2000-02-15 | 2001-08-24 | Tokyo Electron Ltd | 処理装置及び処理方法 |
| EP1127957A1 (en) * | 2000-02-24 | 2001-08-29 | Asm Japan K.K. | A film forming apparatus having cleaning function |
| JP3411539B2 (ja) | 2000-03-06 | 2003-06-03 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
| JP4592916B2 (ja) | 2000-04-25 | 2010-12-08 | 東京エレクトロン株式会社 | 被処理体の載置装置 |
| US20040081439A1 (en) * | 2000-05-04 | 2004-04-29 | Applied Materials, Inc. | Actively-controlled electrostatic chuck heater |
| JP2002009048A (ja) | 2000-06-20 | 2002-01-11 | Matsushita Electric Ind Co Ltd | プラズマ処理装置のフォーカスリング |
| JP4559595B2 (ja) | 2000-07-17 | 2010-10-06 | 東京エレクトロン株式会社 | 被処理体の載置装置及びプラズマ処理装置 |
| US6844273B2 (en) * | 2001-02-07 | 2005-01-18 | Tokyo Electron Limited | Precleaning method of precleaning a silicon nitride film forming system |
| JP4133333B2 (ja) * | 2001-02-15 | 2008-08-13 | 東京エレクトロン株式会社 | 被処理体の処理方法及びその処理装置 |
| US6528427B2 (en) * | 2001-03-30 | 2003-03-04 | Lam Research Corporation | Methods for reducing contamination of semiconductor substrates |
| US6677167B2 (en) | 2002-03-04 | 2004-01-13 | Hitachi High-Technologies Corporation | Wafer processing apparatus and a wafer stage and a wafer processing method |
| US6767844B2 (en) | 2002-07-03 | 2004-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma chamber equipped with temperature-controlled focus ring and method of operating |
| US20040261946A1 (en) | 2003-04-24 | 2004-12-30 | Tokyo Electron Limited | Plasma processing apparatus, focus ring, and susceptor |
| WO2008007675A1 (fr) * | 2006-07-11 | 2008-01-17 | Tokyo Electron Limited | procédé de formation de film, procédé de nettoyage, et dispositif de formation de film |
-
2002
- 2002-02-15 JP JP2002564750A patent/JP4133333B2/ja not_active Expired - Lifetime
- 2002-02-15 WO PCT/JP2002/001279 patent/WO2002065532A1/ja not_active Ceased
- 2002-02-15 CN CN2007101044806A patent/CN101038863B/zh not_active Expired - Lifetime
- 2002-02-15 CN CNB028050657A patent/CN1322556C/zh not_active Expired - Lifetime
-
2003
- 2003-08-15 US US10/641,056 patent/US7615259B2/en not_active Expired - Lifetime
-
2009
- 2009-09-25 US US12/567,491 patent/US8287967B2/en not_active Expired - Fee Related
Cited By (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2020120081A (ja) * | 2019-01-28 | 2020-08-06 | 東京エレクトロン株式会社 | 基板処理装置 |
Also Published As
| Publication number | Publication date |
|---|---|
| CN101038863A (zh) | 2007-09-19 |
| US20040099635A1 (en) | 2004-05-27 |
| JPWO2002065532A1 (ja) | 2004-06-17 |
| CN101038863B (zh) | 2011-07-06 |
| CN1491429A (zh) | 2004-04-21 |
| CN1322556C (zh) | 2007-06-20 |
| US8287967B2 (en) | 2012-10-16 |
| US7615259B2 (en) | 2009-11-10 |
| WO2002065532A1 (fr) | 2002-08-22 |
| US20100015812A1 (en) | 2010-01-21 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| JP4133333B2 (ja) | 被処理体の処理方法及びその処理装置 | |
| JP2879887B2 (ja) | プラズマ処理方法 | |
| TWI797293B (zh) | 電漿處理裝置及被處理體之搬運方法 | |
| JP3258885B2 (ja) | 成膜処理装置 | |
| JP4695297B2 (ja) | 薄膜形成装置及びロードロックチャンバー | |
| KR20200022682A (ko) | 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법 | |
| JP2869384B2 (ja) | プラズマ処理方法 | |
| CN111386599B (zh) | 真空处理装置 | |
| KR102653253B1 (ko) | 기판 처리 방법 및 기판 처리 장치 | |
| JP3276023B2 (ja) | プラズマ処理装置の制御方法 | |
| KR102335471B1 (ko) | 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법 | |
| JPH06124998A (ja) | プラズマ処理装置 | |
| JP3193815B2 (ja) | プラズマ処理装置およびその制御方法 | |
| JPH07230959A (ja) | 被処理体近傍空間の気流の制御方法及び減圧装置 | |
| JPH04298062A (ja) | 半導体製造装置 | |
| JP4129152B2 (ja) | 基板載置部材およびそれを用いた基板処理装置 | |
| US10847379B2 (en) | Etching method and etching apparatus | |
| KR100319468B1 (ko) | 플라즈마 처리 방법 | |
| KR102675611B1 (ko) | 기판 처리 장치 및 기판 처리 방법 | |
| KR102299883B1 (ko) | 기판 처리 장치 및 방법 | |
| KR102724522B1 (ko) | 기판 처리 장치 및 기판 처리 방법 | |
| JPH02270320A (ja) | 表面処理方法および装置 | |
| JP7214021B2 (ja) | プラズマ処理装置、及び被処理体の搬送方法 | |
| JPH05152425A (ja) | 処理装置およびスパツタリング装置 | |
| KR102896103B1 (ko) | 기판 처리 방법 및 기판 처리 장치 |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20050215 |
|
| A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050215 |
|
| A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20071225 |
|
| A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080221 |
|
| TRDD | Decision of grant or rejection written | ||
| A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20080527 |
|
| A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
| A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20080602 |
|
| FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110606 Year of fee payment: 3 |
|
| R150 | Certificate of patent or registration of utility model |
Ref document number: 4133333 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
| FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140606 Year of fee payment: 6 |
|
| R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
| R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
| R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
| R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
| R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
| EXPY | Cancellation because of completion of term |