TW202233884A - 形成臨限電壓控制用之結構的方法 - Google Patents

形成臨限電壓控制用之結構的方法 Download PDF

Info

Publication number
TW202233884A
TW202233884A TW110145570A TW110145570A TW202233884A TW 202233884 A TW202233884 A TW 202233884A TW 110145570 A TW110145570 A TW 110145570A TW 110145570 A TW110145570 A TW 110145570A TW 202233884 A TW202233884 A TW 202233884A
Authority
TW
Taiwan
Prior art keywords
precursor
rare earth
earth metal
pulse
carbide
Prior art date
Application number
TW110145570A
Other languages
English (en)
Inventor
杜魯能 梅特 范
查理斯 德茲拉
琦 謝
彼德洛 德明斯基
維爾尼 吉賽佩 亞雷西歐
張仁頡
陳立甫
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202233884A publication Critical patent/TW202233884A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本發明係揭示用於在一基板之一表面上沉積含稀土金屬碳化物層的方法和系統,以及使用該等方法所形成的結構和裝置。一例示性方法包括使用一循環沉積製程,諸如一原子層沉積製程,以將一含稀土金屬碳化物層沉積至該基板之一表面上。

Description

形成臨限電壓控制用之結構的方法
本發明大體上係關於適於在一基板的一表面上形成一層之方法及系統,及關於包括該層之結構。更具體而言,本發明係關於形成允許控制金氧半場效電晶體(MOSFETs)之臨限電壓之層的方法及系統,及關於使用該等方法及系統所形成之結構。
半導體裝置(諸如,例如互補式金氧半導體(complementary metal-oxide-semiconductor, CMOS)裝置)之縮放已導致積體電路之速度及密度的顯著改善。然而,習知的裝置縮放技術對未來技術節點而言卻面臨重大的挑戰。例如,一項挑戰是找尋形成在一場效電晶體之一閘極與一通道間之一絕緣障壁的合適介電堆疊。在這方面的一個特定問題為控制場效電晶體之臨限電壓。
本節提出之任何討論,包括問題及解決方案的討論,僅為了提供本發明背景脈絡之目的而包括在本發明中。此類討論不應視為承認任何或全部資訊在完成本發明時為已知或以其他方式構成先前技術。
本發明內容可以簡化形式介紹可在下文進一步詳述之一系列概念。此發明內容並非意欲必然地鑑別所主張之主題的關鍵特徵或基本特徵,亦非意欲用以限制所主張之主題的範疇。
本發明之各種實施例係關於形成包括含稀土金屬碳化物層之結構的方法,關於使用此類方法所形成的結構及裝置,及關於用於執行該等方法及/或用於形成該等結構及/或裝置的設備。該等含稀土金屬碳化物層可用於各種應用中,包括降低積體電路中的功耗。該等方法可包括一循環沉積製程。該循環沉積製程可包括一原子層沉積製程及一循環化學氣相沉積製程之一或多者。該循環沉積製程可包括一熱製程,亦即,一不使用電漿活化物種的製程。在一些例子中,一反應物可暴露至一電漿以形成活化反應物物種,例如,自由基及/或離子。
本文中描述一種用於在一基板上沉積一含稀土金屬碳化物層之方法。該方法包含在一反應室中提供一基板。該基板包含一表層。該方法進一步包含藉由一循環沉積製程而將一含稀土金屬碳化物層沉積於該表層上。該循環沉積製程包含一或多個循環。一循環包含一前驅物脈衝及一反應物脈衝。該前驅物脈衝包含提供一稀土金屬前驅物至該反應室。該反應物脈衝包含提供一碳反應物至該反應室。因此,一含稀土金屬碳化物層係形成於該基板上。
本文中進一步描述一種在一基板上形成一電極之方法。該方法包含在一反應室中提供一基板。該基板包含一閘極介電質。該方法進一步包含將一第一導電層沉積於該閘極介電質上,並接續藉由一循環沉積製程而將一含稀土金屬碳化物層沉積至該第一導電層上。該循環沉積製程包含一或多個循環。一循環包含一前驅物脈衝及一反應物脈衝。該前驅物脈衝包含提供一稀土金屬前驅物至該反應室。該反應物脈衝包含提供一碳反應物至該反應室。接著,該方法包含將一第二導電層沉積至稀土金屬碳化物上。因此,一電極係形成於該基板上。該電極包含該第一導電層、該含稀土金屬碳化物層及該第二導電層。
在一些實施例中,該含稀土金屬碳化物層包含選自碳化鑭、碳化釔、碳化鉺、碳化釤、碳化銪、碳化鐿及碳化鈰之一稀土金屬碳化物;且該稀土金屬前驅物係選自一鑭前驅物、一釔前驅物、一鉺前驅物、一釤前驅物、一銪前驅物、一鐿前驅物及一鈰前驅物。
在一些實施例中,該稀土金屬前驅物包含一+3氧化態稀土金屬及一+4氧化態稀土金屬之至少一者。
在一些實施例中,該稀土金屬碳化物層包含碳化鈰,且該稀土金屬前驅物包含一鈰前驅物。
在一些實施例中,該稀土金屬前驅物包含一經取代或未經取代環戊二烯基配位基。
在一些實施例中,該稀土金屬前驅物包含一C1至C4烷基取代環戊二烯基配位基。
在一些實施例中,該烷基取代環戊二烯基配位基係選自EtCp、MeCp、iPrCp、nBuCp及tBuCp。
在一些實施例中,該稀土金屬前驅物包含一烷矽基取代環戊二烯基配位基。
在一些實施例中,該鈰前驅物係為Ce(iPrCp) 3
在一些實施例中,該碳反應物包含一鹵化C1至C6烷烴或烯烴。
在一些實施例中,該碳反應物包含碘。
在一些實施例中,該碳反應物係選自C 2H 5I、C 2H 4I 2、CH 2I 2、CHI 3、CH 3I 及 CI 4
在一些實施例中,該碳反應物包含C 2H 4I 2
在一些實施例中,該第一導電層包含一第一過渡金屬氮化物。
在一些實施例中,該第一過渡金屬氮化物包含氮化鈦。
在一些實施例中,該第二導電層包含一第二過渡金屬氮化物。
在一些實施例中,該第二過渡金屬氮化物包含氮化鈦。
在一些實施例中,該第一導電層包含一第一過渡金屬碳化物。
在一些實施例中,該第一過渡金屬碳化物包含碳化鈦。
在一些實施例中,該第二導電層包含一第二過渡金屬碳化物。
在一些實施例中,該第二過渡金屬碳化物包含碳化鈦。
在一些實施例中,該第一導電層及該第二導電層之至少一者包含氮化矽。
在一些實施例中,該第一導電層及該第二導電層之至少一者包含一金屬。
在一些實施例中,該前驅物脈衝係先於該反應物脈衝。
在一些實施例中,該循環沉積製程進一步包含一第一氫脈衝,且該第一氫脈衝包含提供一第一含氫氣體至該反應室。
在一些實施例中,該第一含氫氣體包含H 2
在一些實施例中,該第一氫脈衝發生於該前驅物脈衝之後且於該反應物脈衝之前。
在一些實施例中,該循環沉積製程進一步包含一第二氫脈衝。該第二氫脈衝包含提供一第二含氫氣體至該反應室,且該第二氫脈衝發生在該反應物脈衝之後。
在一些實施例中,該第二含氫氣體包含H 2
在一些實施例中,該循環沉積製程包含一另一前驅物脈衝。該另一前驅物脈衝包含提供一另一前驅物至該反應室。
在一些實施例中,該另一前驅物及該稀土金屬前驅物係為不同。
在一些實施例中,該另一前驅物包含一稀土金屬及一過渡金屬之至少一者。
在一些實施例中,該另一前驅物脈衝係緊接一第三氫脈衝。該第三氫脈衝包含提供一第三含氫氣體至該反應室。
在一些實施例中,該第三含氫氣體包含H 2
在一些實施例中,該含稀土金屬碳化物層以每個循環0.1 nm或更小的生長速率生長。
在一些實施例中,該含稀土金屬碳化物層係於至少350°C至至多500°C之溫度下沉積。
在一些實施例中,該含稀土金屬碳化物層係在至少0.5 Torr至至多5 Torr之壓力下沉積。
在一些實施例中,該含稀土金屬碳化物層具有至少0.5 nm到至多5.0 nm的厚度。
在一些實施例中,該含稀土金屬碳化物層係於一交叉流反應器中沉積。
在一些實施例中,該含稀土金屬碳化物層係於一噴灑頭反應器中沉積。
在一些實施例中,該含稀土金屬碳化物層係於一熱壁反應器中沉積。
在一些實施例中,該前驅物係自一溫控前驅物容器提供至該反應室。
在一些實施例中,該溫控前驅物容器係維持在至少100°C至至多200°C的溫度。
在一些實施例中,該前驅物係藉由一載送氣體而提供至該反應室。
在一些實施例中,該載送氣體為氮或一惰性氣體。
在一些實施例中,該循環沉積製程包含一熱製程。
本文中進一步描述一種系統。該系統包含一或多個反應室;一包含一前驅物之前驅物氣體源;一包含一反應物之反應物氣體源;一排氣源;及一控制器。該控制器係配置成控制進入該一或多個反應室中之至少一者的氣流,以執行如文中所述之方法。
本文進一步描述一電極。該電極包含具下列順序的一層堆疊:一第一導電層、一含稀土金屬碳化物層及一第二導電層。
在一些實施例中,該含稀土金屬碳化物層包含一選自碳化鑭、碳化釔、碳化鉺及碳化鈰之稀土金屬碳化物。
在一些實施例中,該含稀土金屬碳化物層包含碳化鈰。
在一些實施例中,該第一導電層包含一第一過渡金屬氮化物。
在一些實施例中,該第一過渡金屬氮化物包含氮化鈦。
在一些實施例中,該第二導電層包含一第二過渡金屬氮化物。
在一些實施例中,該第二過渡金屬氮化物包含氮化鈦。
在一些實施例中,該第一導電層具有至少0.8 nm至至多4.5 nm的厚度。
在一些實施例中,該第二導電層具有至少1 nm至至多20 nm的厚度。
在一些實施例中,該含稀土金屬碳化物層具有至少0.07 nm至至多5 nm的厚度。
本文進一步描述藉由本文所述方法形成之如文中所述的電極。
進一步描述一包含一閘極堆疊的金氧半場效電晶體。該閘極堆疊包含一如本文所述的電極。
在一些實施例中,該金氧半場效電晶體具有一環繞式閘極架構。
所屬技術領域中具通常知識者從下列參考附圖之某些實施例的詳細描述將明白這些及其他實施例。本發明並未受限於任何所揭示具體實施例。
以下所提供之方法、結構、裝置及系統之示例性實施例的描述僅係示例性且僅係意欲用於說明之目的;下列描述並非意欲限制本發明或申請專利範圍之範疇。此外,列舉具有所陳述特徵之多個實施例非意欲排除具有額外特徵之其他實施例或納入所陳述特徵之不同組合的其他實施例。例如,各種實施例係提出作為例示性實施例,並可列舉於附屬項中。除非另有註明,例示性實施例或其組件可組合或可彼此分開應用。
如下文更詳細提出,本發明之各種實施例係提供形成諸如用於場效電晶體之閘極介電質或其部分之結構的方法。例示性方法可用以形成,例如,CMOS裝置或此類裝置之部分。然而,除非另有註明,本發明不必然受限於此類實例。
在本發明中,「氣體(gas)」可包括在常溫及常壓(NTP)下為氣體之材料、汽化固體及/或汽化液體,並可取決於上下文由單一氣體或氣體混合物構成。除了製程氣體以外的氣體,亦即未通過一氣體分配總成、其他氣體分配裝置或類似者而引入的氣體,可用於例如密封反應空間,並可包含諸如一稀有氣體的一密封氣體。在一些例子中,用語「前驅物」可指參與生成另一化合物之化學反應的化合物,且具體係指構成一膜矩陣或一膜之主要骨架的化合物;用語「反應物」可與用語前驅物互換地使用。
如本文所使用,用語「基板」可指可用以形成或在其上可形成一裝置、一電路或一膜之任何(多個)下伏材料。基板可包括塊材(諸如矽(例如單晶矽))、其他IV族材料(諸如鍺)或其他半導體材料(諸如II-VI族或III-V族半導體材料),並可包括上覆或下伏於塊材的一或多層。進一步地,基板可包括各種特徵,諸如形成在基材之一層的至少一部分之內或之上的凹部、突起部及類似者。舉實例而言,一基板可包括塊材半導體材料及上覆於該塊材半導體材料之至少一部分的一絕緣或介電材料層。
如本文所使用,用語「膜」及/或「層」可指任何連續或不連續的結構及材料,諸如藉由本文所揭示之方法而沉積之材料。例如,一膜及/或一層可包含二維材料,三維材料,奈米粒子、或甚至部分或完整分子層、部分或完整原子層或原子及/或分子團簇,或由孤立原子及/或分子構成的層。一膜或層可包含具有針孔的材料或層,其可為或不為連續。
如本文中所使用,用語「環繞式閘極電晶體」可意指包含一包裹於一半導體通道區域周圍之導電材料的裝置。如本文中所使用,用語「環繞式閘極電晶體」亦可意指各種裝置架構,諸如奈米片裝置、叉形片裝置、垂直場效電晶體、堆疊裝置架構等。
用語「循環沉積製程」可指將前驅物(及/或反應物)循序引入一反應室中以在一基板上方沉積一層,並包含處理技術,諸如原子層沉積(ALD)、循環化學氣相沉積(循環CVD)及包含一ALD組件及一循環CVD組件之混合循環沉積製程。在較佳實施例中,如本文所述之循環沉積製程係指一原子層沉積製程。
用語「原子層沉積」可指一氣相沉積製程,其中沉積循環,一般為複數個接續的沉積循環,係在一製程室中實施。當執行(多個)前驅物/(多個)反應性氣體及(多個)沖洗(例如惰性載體)氣體的交替脈衝時,如本文中所使用之用語原子層沉積亦意指包含由相關用語指定的製程,諸如化學氣相原子層沉積、原子層磊晶(ALE)、分子束磊晶(MBE)、氣體源MBE、有機金屬MBE及化學束磊晶。
通常,對ALD製程而言,在各循環期間,一前驅物係引入一反應室且化學吸附至一沉積表面(例如,可包含一來自一先前ALD循環之先前沉積材料或其他材料的一基板表面),並形成不易與額外前驅物起反應(亦即,一自限制反應)的材料,例如,一單層或次單層材料、或數個單層材料、或複數個單層材料。其後,在一些例子中,一反應物(例如,另一前驅物或反應氣體)可接續被引入至該製程室中,以用於將在該沉積表面上之該化學吸附前驅物轉化為一所需材料。該反應物能夠進一步與該前驅物起反應。沖洗步驟可用來在一或多個循環期間,例如在各循環之各步驟期間,自製程室移除任何過量前驅物,及/或自反應室移除任何過量反應物及/或反應副產物。應注意,如本文中所使用,ALD製程不必然由一系列自限制表面反應組成。
進一步地,在本揭露中,變數之任兩個數字可構成該變數之可工作範圍,且所指示之任何範圍可包含或排除端點。額外地,所指示的變數之任何數值(不管該等數值是否以「約」來指示)可指精確值或近似值並包括等效值,且可指平均值、中間值、代表值、多數值或類似者。進一步地,在本發明中,於一些實施例中,用語「包括(including)」、「由……構成(constituted by)」及「具有(having)」係獨立地指「一般或廣泛地包含(typically or broadly comprising)」、「包含(comprising)」、「基本上由……組成(consisting essentially of)」或「由……組成(consisting of)」。在本發明中,於一些實施例中,任何已定義之意義不必然排除尋常及慣例意義。
在本發明中,係使用下列縮寫:RE-M代表稀土金屬,Cp代表環戊二烯基,MeCp代表甲基環戊二烯基,EtCp代表乙基環戊二烯基,iPrCp代表異丙基環戊二烯基,nPrCp代表正丙基環戊二烯基,tBuCp代表三級丁基環戊二烯基,而TMSCp代表三甲基矽基環戊二烯基。Me代表甲基;Et代表乙基;iPr代表異丙基;nPr代表正丙基;nBu代表正丁基;acac代表乙醯丙酮;hfac代表六氟乙醯丙酮; N R, R’R”-amd”或N RR″-amd在R等於R′時表示脒基(amidinate)配位基[R—N—C(R″)═N—R′],其中R,R'及R″為C1-C5烴基,例如C1-C5烴基;R 2-fmd”代表一脒基配位基,其中R等於R’且R”等於H;R 2-amd”代表一脒基配位基,其中R等於R’且R”等於CH 3;thd代表2,2,6,6-四甲基庚烷-3,5-二酮;phen代表啡啉(phenanthroline)。
本文所描述之方法及裝置係適用於控制場效電晶體之臨限電壓。在一些實施例中,本發明之方法及裝置尤其適用於控制n通道場效電晶體之臨限電壓,諸如n通道金氧半場效電晶體,諸如n通道環繞式閘極金氧半場效電晶體。在一些實施例中,本發明之方法及裝置尤其適用於控制p通道場效電晶體之臨限電壓,諸如p通道金氧半場效電晶體,諸如p通道環繞式閘極金氧半場效電晶體。在一些實施例中,本發明之方法及裝置尤其適用於誘導金氧半場效電晶體(MOSFETs)之負平帶電壓偏移。因此,本發明之方法及裝置尤其可適用於降低在一n-MOSFET的源極與汲極之間產生一導電通道時的閘極電壓。該n-MOSFET可,例如,包含在一CMOS積體電路中。額外地或替代地,本發明之方法及裝置尤其可適用於增加在p-MOSFET的源極與汲極之間產生一導電通道時的閘極電壓。該p-MOSFET可,例如,包含在一CMOS積體電路中。換言之,本發明之方法及裝置尤其可適用於降低一n-MOSFET從關閉狀態切換至接通狀態時的電壓,且適用於增加一p-MOSFET從關閉狀態轉切換至接通狀態時的電壓。類似地,本發明之方法及裝置尤其可適用於降低n-MOSFETS之平帶電壓及適用於增加p-MOSFETS之平帶電壓。本發明之方法及裝置尤其可適用於製造具有一環繞式閘極架構的n-MOSFETS及p-MOSFETS。額外地或替代地,本發明之方法及裝置於單晶片系統的背景脈絡中特別有用。
在一些實施例中,本發明之方法及裝置尤其適用於誘導金氧半場效電晶體(MOSFETs)的一正平帶電壓偏移。因此,本發明方法及裝置尤其適用於增加在一n-MOSFET的源極與汲極之間產生一導電通道時的閘極電壓。該n-MOSFET可,例如,包含在一CMOS積體電路中。額外地或替代地,本發明之方法及裝置尤其可適用於降低在一p-MOSFET的源極與汲極之間產生一導電通道時的閘極電壓。該p-MOSFET可,例如,包含在一CMOS積體電路中。換言之,本發明之方法及裝置尤其可適用於增加一n-MOSFET從關閉狀態切換至接通狀態時的電壓,且適用於降低一p-MOSFET從關閉狀態轉切換至接通狀態時的電壓。類似地,本發明之方法及裝置尤其可適用於增加n-MOSFETS之平帶電壓及降低p-MOSFETS之平帶電壓。本發明之方法及裝置尤其可適用於製造具有一環繞式閘極架構的n-MOSFETS或p-MOSFETS。額外地或替代地,本發明之方法及裝置於單晶片系統的背景脈絡中特別有用。
應理解,當一金屬氮化物或碳化物係藉由一化學式而縮寫時,取決於,例如,討論中之金屬的氧化態,該個化學式可指在給定化學計量中、或在任何其他化學計量中(包含非化學計量形式)的金屬氮化物或碳化物。例如,當氮化鈦縮寫為「TiN」時,用語TiN可意謂一含鈦及氮材料,其中鈦及氮以1:1之比率、或以任何其他合適比率,諸如0.8:1、0.9:1、0.95:1、1:1.05、1:1.1、1.2、1.5等,而存在。
本文所描述係為用於在一基板上沉積一含稀土金屬碳化物層之方法。該等方法包含一在一反應室中提供一基板之步驟。一合適的基板包括一單晶矽晶圓,例如一p型單晶矽晶圓。該基板包含一表層。該方法進一步包含一藉由一循環沉積製程,諸如,一原子層沉積製程或一循環化學氣相沉積製程,而將一含稀土金屬碳化物層沉積至該表層之步驟。在一些實施例中,該循環沉積製程包含一或多個循環。一循環包含兩個或多個脈衝。在一些實施例中,至少一脈衝係涉及一自限制表面反應。在一些實施例中,所有脈衝係涉及一自限制表面反應。一循環包含一前驅物脈衝及一反應物脈衝。在該前驅物脈衝中,一稀土金屬前驅物係提供至該反應室,且於該反應物脈衝中,一碳反應物係提供至該反應室。因此,一含稀土金屬碳化物層係形成於該基板上。
在一些實施例中,本發明所描述用於在一基板上沉積一含稀土金屬碳化物層之方法可用於形成一CMOS(例如,n-MOS或p-MOS)電晶體的一金屬閘極電極。因此,本文進一步描述用於在一基板上形成一電極之方法。該等方法包含一在一反應室中提供一基板之步驟。該基板包含一閘極介電質。合適的閘極介電質包含高k介電質,諸如氧化鉿、氧化鋯、氧化鋁及其混合物。該等方法進一步包含將一第一導電層沉積於該閘極介電質上。該方法進一步包含使用一循環沉積製程而將一含稀土金屬碳化物層沉積於該第一導電層上。該循環沉積製程包含一或多個循環。一循環包含在一前驅物脈衝中提供一稀土金屬前驅物至該反應室。一循環進一步包含在一反應物脈衝中提供一碳反應物至該反應室。該等方法接著進一步包含在該稀土金屬碳化物層上沉積一第二導電層。因此,一包含該第一導電層、該含稀土金屬碳化物層及該第二導電層之電極係形成於該基板上。
在一些實施例中,該前驅物脈衝係先於該反應物脈衝。此儘管,且在其他實施例中,該反應物脈衝可先於該前驅物脈衝。
在一些實施例中,該循環沉積製程進一步包含一第一氫脈衝。該第一氫脈衝包含提供一第一含氫氣體至該反應室。適當地,該第一含氫氣體可包含H 2
在一些實施例中,該第一氫脈衝發生於該前驅物脈衝之後且於該反應物脈衝之前。有利地,如此係允許控制該含稀土金屬碳化物層之稀土金屬及碳含量。換言之,該第一氫脈衝係有利地允許控制該含稀土金屬碳化物層之化學計量。在不受限於任何特定理論或操作模式的本發明主題下,咸信該第一氫脈衝可導致該稀土金屬與該稀土金屬前驅物中之配位基之間的化學鍵弱化及/或破壞。額外地或替代地,咸信該第一氫脈衝可將包含於該稀土金屬前驅物中之含碳及氫配位基轉化為容易自該基板表面及自該反應室移除之諸如CH 4的揮發性副產物。因此,該第一氫脈衝係有利地允許移除殘餘碳。
在一些實施例中,該循環沉積製程進一步包含一第二氫脈衝。該第二氫脈衝包含提供一第二含氫氣體,諸如H 2,至該反應室。應理解,該第二氫脈衝可發生於該反應物脈衝之後。換言之,當該循環製程正在進行時,該第二氫脈衝可於該反應物脈衝之後且於該前驅物脈衝之前適當地發生。
在一些實施例中,該循環沉積製程包含一第一氫脈衝及一第二氫脈衝。在此類實施例中,該第一氫脈衝係發生於該前驅物脈衝之後且於該反應物脈衝之前,以及該第二氫脈衝係發生於該反應物脈衝之後。
在一些實施例中,該循環沉積製程包含一另一前驅物脈衝。該另一前驅物脈衝包含提供一另一前驅物至該反應室。有利地,該另一前驅物及該稀土金屬前驅物係為不同。因此,係可沉積一進一步包含一額外元素的含稀土碳化物層。在一些實施例中,該另一前驅物包含一稀土金屬及一過渡金屬之至少一者。例示性的另一前驅物包含過渡金屬前驅物及稀土金屬前驅物。例如,合適的過渡金屬前驅物包含鐵前驅物、鈦前驅物及釩前驅物。合適的稀土金屬前驅物包含鑭前驅物、釔前驅物、鉺前驅物、釤前驅物、銪前驅物、鐿前驅物、釓前驅物、釹前驅物及鈰前驅物。在一些實施例中,該另一前驅物包含經取代或未經取代環戊二烯基配位基。在一些實施例中,該另一前驅物包含一C1至C4烷基取代環戊二烯基配位基。在一些實施例中,該烷基取代環戊二烯基配位基係選自EtCp、MeCp、iPrCp、nPrCp、Cp及tBuCp。在一些實施例中,該另一前驅物包含一鋁前驅物。
在一些實施例中,該另一前驅物脈衝係緊接一第三氫脈衝,其中該第三氫脈衝包含提供一第三含氫氣體至該反應室。在一些實施例中,該第三含氫氣體包含H 2
應理解,任兩個步驟及/或脈衝可藉由一沖洗而分開。因此,在一些實施例中,沉積該第一導電層之步驟及沉積該含稀土金屬碳化物層之步驟係藉由一沖洗而分開。在一些實施例中,沉積該含稀土金屬碳化物層之步驟及沉積該第二導電層之步驟係藉由一沖洗而分開。在一些實施例中,該前驅物脈衝及該反應物脈衝係藉由一沖洗而分開。在一些實施例中,該反應物脈衝及該另一前驅物脈衝係藉由一沖洗而分開。在一些實施例中,該反應物脈衝及該第二氫脈衝係藉由一沖洗而分開。在一些實施例中,該第二氫脈衝及該另一前驅物脈衝係藉由一沖洗而分開。在一些實施例中,該另一前驅物脈衝及該第三氫脈衝係藉由一沖洗而分開。在一些實施例中,接續的循環係藉由一沖洗而分開。
該含稀土金屬碳化物層可包含任何的稀土金屬碳化物。在一些實施例中,該含稀土金屬碳化物層係由一稀土金屬碳化物所組成。在一些實施例中,該含稀土金屬碳化物層係包含選自碳化鑭、碳化釔、碳化鉺、碳化釤、碳化銪、碳化鐿、碳化釓、碳化釹及碳化鈰的一稀土金屬碳化物,且該稀土金屬前驅物係選自一鑭前驅物、一釔前驅物、一鉺前驅物、一釤前驅物、一銪前驅物、一鐿前驅物及一鈰前驅物。
該含稀土金屬碳化物層可包含一處於任何合適氧化態,例如+2氧化態、+3氧化態或+4氧化態,的稀土金屬。在一些實施例中,該稀土金屬前驅物包含一+3氧化態稀土金屬。
在一些實施例中,該稀土金屬前驅物包含一經取代或未經取代環戊二烯基配位基。在一些實施例中,該稀土金屬前驅物包含一C1至C4烷基取代環戊二烯基配位基。
在一些實施例中,該烷基取代環戊二烯基配位基係選自EtCp、MeCp、iPrCp、nBuCp及tBuCp。當該稀土金屬前驅物中所含之一稀土金屬具有一+3氧化態時,在一些實施例中,該稀土金屬前驅物係包含選自RE-M(Cp) 3、RE-M(EtCp) 3、RE-M(MeCp) 3、RE-M(iPrCp) 3、RE-M(nPrCp) 3、RE-M(nBuCp) 3及RE-M(tBuCp) 3的一化合物。當該稀土金屬前驅物中所含之一稀土金屬具有+4氧化態時,在一些實施例中,該稀土金屬前驅物包含選自RE-M(Cp) 4 RE-M(EtCp) 4、RE-M(MeCp) 4、RE-M(iPrCp) 4、RE-M(nPrCp) 4、RE-M(nBuCp) 4及RE-M(tBuCp) 4的一化合物。
在一些實施例中,該稀土金屬前驅物包含一烷矽基取代環戊二烯基配位基。在一些實施例中,該烷矽基取代環戊二烯基配位基係選自三甲基矽基環戊二烯基、三乙基矽基環戊二烯基及三異丙基矽基環戊二烯基。當該稀土金屬前驅物中所含之該稀土金屬具有一+3氧化態時,在一些實施例中,該稀土金屬前驅物包含RE-M(TMSCp) 3
在一些實施例中,該稀土金屬前驅物包含一稀土金屬二酮酸鹽(diketonate)。在一些實施例中,該稀土金屬前驅物係選自由下列組成之列表:RE-M(acac) 4、RE-M(hfac) 3、RE-M(hfac) 4、RE-M(thd) 3、RE-M(thd) 4及RE-M(thd) 3phen。
在一些實施例中,該稀土金屬前驅物包含一脒基配位基。在一些實施例中,該稀土金屬前驅物包含一甲脒基(formamidinate, fmd)配位基。在一些實施例中,該稀土金屬前驅物包含一乙脒基(acetamidinate, amd)配位基。在一些實施例中,該稀土金屬前驅物包含一選自R 2-amd及R 2-fmd之脒基配位基,其中R為一直鏈或支鏈C1至C4烷基。在一些實施例中,該稀土金屬前驅物包含形式為RE-M(R 1 2-amd) 3、RE-M(R 1 2-fmd) 3、RE-M(R 2 2Cp) 2(R 1 2-amd)、RE-M(R 2 2Cp) 2(R 1 2-fmd)、RE-M(R 1 2-amd) 4、RE-M(R 1 2-fmd) 4、RE-M(R 2 2Cp) 3(R 1 2-amd)、RE-M(R 2 2Cp) 2(R 1 2-amd) 2、RE-M(R 2 2Cp)(R 1 2-amd) 3、RE-M(R 2 2Cp) 3(R 1 2-fmd)、RE-M(R 2 2Cp) 2(R 1 2-fmd) 2及RE-M(R 2 2Cp)(R 1 2-fmd) 3的一化合物,其中R 1及R 2為一直鏈或支鏈C1至C4烷基。在一些實施例中,該稀土金屬前驅物包含RE-M(iPr 2-amd) 3、RE-M(tBu 2-amd) 3、RE-M(iPrCp) 2(iPr 2-amd)、RE-M(Cp) 2(iPr 2-amd)、RE-M(MeCp) 2(iPr 2-amd)、RE-M(EtCp) 2(iPr 2-amd)、RE-M(nPrCp) 2(iPr 2-amd)、RE-M(tBuCp) 2(iPr 2-amd)、RE-M(iPr 2-amd) 4、RE-M(tBu 2-amd) 4、RE-M(iPrCp) 3(iPr 2-amd)、RE-M(iPrCp) 2(iPr 2-amd) 2、RE-M(iPrCp) (iPr 2-amd) 3、RE-M(Cp) 3(iPr 2-amd)、RE-M(Cp) 2(iPr 2-amd) 2、RE-M(Cp)(iPr 2-amd) 3、RE-M(MeCp) 3(iPr 2-amd)、RE-M(MECp) 2(iPr 2-amd) 2、RE-M(MeCp)(iPr 2-amd) 3、RE-M(EtCp) 3(iPr 2-amd)、RE-M(EtCp) 2(iPr 2-amd) 2、RE-M(EtCp)(iPr 2-amd) 3、RE-M(nPrCp) 3(iPr 2-amd)、RE-M(nPrCp) 2(iPr 2-amd) 2、RE-M(nPrCp) (iPr 2-amd) 3、RE-M(tBuCp) 3(iPr 2-amd)、RE-M(tBuCp) 2(iPr 2-amd) 2及RE-M(tBuCp)(iPr 2-amd) 3中之至少一者。在一些實施例中,該稀土金屬前驅物包含RE-M(iPr 2-fmd) 3、RE-M(tBu 2-fmd) 3、RE-M(iPrCp) 2(iPr 2-fmd)、RE-M(Cp) 2(iPr 2-fmd)、RE-M(MeCp) 2(iPr 2-fmd)、RE-M(EtCp) 2(iPr 2-fmd)、RE-M(nPrCp) 2(iPr 2-fmd)、RE-M(tBuCp) 2(iPr 2-fmd)、RE-M(iPr 2-fmd) 4、RE-M(tBu 2-fmd) 4、RE-M(iPrCp) 3(iPr 2-fmd)、RE-M(iPrCp) 2(iPr 2-fmd) 2、RE-M(iPrCp) (iPr 2-fmd) 3、RE-M(Cp) 3(iPr 2-fmd)、RE-M(Cp) 2(iPr 2-fmd) 2、RE-M(Cp)(iPr 2-fmd) 3、RE-M(MeCp) 3(iPr 2-fmd)、RE-M(MECp) 2(iPr 2-fmd) 2、RE-M(MeCp)(iPr 2-fmd) 3、RE-M(EtCp) 3(iPr 2-fmd)、RE-M(EtCp) 2(iPr 2-fmd) 2、RE-M(EtCp)(iPr 2-fmd) 3、RE-M(nPrCp) 3(iPr 2-fmd)、RE-M(nPrCp) 2(iPr 2-fmd) 2、RE-M(nPrCp)(iPr 2-fmd) 3、RE-M(tBuCp) 3(iPr 2-fmd)、RE-M(tBuCp) 2(iPr 2-fmd) 2及RE-M(tBuCp)(iPr 2-fmd) 3中之至少一者。
在一些實施例中,該稀土金屬前驅物包含一稀土金屬烷氧化物,諸如RE-M(OCMe 2CH 2OMe) 4
在一些實施例中,該稀土金屬前驅物包含一或多個稀土金屬烷基矽烷胺。一例示性稀土金屬烷基矽烷胺包含Ce[N(SiMe 3) 2] 3
在一些實施例中,該稀土金屬碳化物層包含碳化鈰,且該稀土金屬前驅物包含一鈰前驅物。發明人出乎意料地發現,含碳化鈰層可尤其有利於增加p通道金氧半場效電晶體之臨限電壓。發明人亦出乎意料地發現,含碳化鈰層可尤其有利於降低n通道金氧半場效電晶體之臨限電壓。
例示性鈰前驅物包含二酮酸鈰、脒酸鈰、環戊二烯基鈰、烷氧化鈰及烷基矽烷胺鈰。
在一些實施例中,該鈰前驅物包含經取代或未經取代環戊二烯基配位基。在一些實施例中,該鈰前驅物包含一C1至C4烷基取代環戊二烯基配位基。
在一些實施例中,該烷基取代環戊二烯基配位基係選自EtCp、MeCp、iPrCp、Cp、nBuCp及tBuCp。在一些實施例中,該鈰前驅物包含選自Ce(Cp) 3、Ce(EtCp) 3、Ce(MeCp) 3、Ce(iPrCp) 3、Ce(nPrCp) 3、Ce(nBuCp) 3及 Ce(tBuCp) 3的一化合物。在一些實施例中,該鈰前驅物包含Ce(iPrCp) 3。在一些實施例中,該鈰前驅物包含選自Ce(Cp) 4、Ce(EtCp) 4、Ce(MeCp) 4、Ce(iPrCp) 4、Ce(nPrCp) 4、Ce(nBuCp) 4及Ce(tBuCp) 4的一化合物。在一些實施例中,該鈰前驅物包含Ce(iPrCp) 4
在一些實施例中,該鈰前驅物包含一烷矽基取代環戊二烯基配體。在一些實施例中,該烷矽基取代環戊二烯基配位基係選自三甲基矽基環戊二烯基、三乙基矽基環戊二烯基及三異丙基矽基環戊二烯基。在一些實施例中,該鈰前驅物包含Ce(TMSCp) 3
在一些實施例中,該鈰前驅物包含一二酮酸鈰。在一些實施例中,該鈰前驅物係選自由下列組成之列表:Ce(acac) 4、Ce(hfac) 4、Ce(thd) 4及Ce(thd) 3phen。
在一些實施例中,該鈰前驅物包含一脒基配位基。在一些實施例中,該鈰前驅物包含一甲脒基配位基。在一些實施例中,該鈰前驅物包含一乙脒基配位基。在一些實施例中,該鈰包含選自R 2-amd及R 2-fmd的一脒基配位基,其中R為一直鏈或支鏈C1至C4烷基。在一些實施例中,該鈰前驅物包含選自列表Ce(R 1 2-amd) 3、Ce(R 1 2-fmd) 3、Ce(R 2 2Cp) 2(R 1 2-amd)、Ce(R 2 2Cp) 2(R 1 2-fmd)、Ce(R 1 2-amd) 4、Ce(R 1 2-fmd) 4、Ce(R 2 2Cp) 3(R 1 2-amd)、Ce(R 2 2Cp) 2(R 1 2-amd) 2、Ce(R 2 2Cp)(R 1 2-amd) 3、Ce(R 2 2Cp) 3(R 1 2-fmd)、Ce(R 2 2Cp) 2(R 1 2-fmd) 2及Ce(R 2 2Cp)(R 1 2-fmd) 3的一化合物,其中R 1及R 2係獨立選自一直鏈或支鏈C1至C4烷基。在一些實施例中,該鈰前驅物包含Ce(iPr 2-amd) 3、Ce(tBu 2-amd) 3、Ce(iPrCp) 2(iPr 2-amd)、Ce(Cp) 2(iPr 2-amd)、Ce(MeCp) 2(iPr 2-amd)、Ce(EtCp) 2(iPr 2-amd)、Ce(nPrCp) 2(iPr 2-amd)、Ce(tBuCp) 2(iPr 2-amd)、Ce(iPr 2-amd) 4、Ce(tBu 2-amd) 4、Ce(iPrCp) 3(iPr 2-amd)、Ce(iPrCp) 2(iPr 2-amd) 2、Ce(iPrCp) (iPr 2-amd) 3、Ce(Cp) 3(iPr 2-amd)、Ce(Cp) 2(iPr 2-amd) 2, Ce(Cp)(iPr 2-amd) 3、Ce(MeCp) 3(iPr 2-amd)、Ce(MECp) 2(iPr 2-amd) 2、Ce(MeCp)(iPr 2-amd) 3、Ce(EtCp) 3(iPr 2-amd)、Ce(EtCp) 2(iPr 2-amd) 2, Ce(EtCp)(iPr 2-amd) 3、Ce(nPrCp) 3(iPr 2-amd)、Ce(nPrCp) 2(iPr 2-amd) 2、Ce(nPrCp)(iPr 2-amd) 3、Ce(tBuCp) 3(iPr 2-amd)、Ce(tBuCp) 2(iPr 2-amd) 2及Ce(tBuCp) (iPr 2-amd) 3中之至少一者。在一些實施例中,該鈰前驅物包含Ce(iPr 2-fmd) 3、Ce(tBu 2-fmd) 3、Ce(iPrCp) 2(iPr 2-fmd)、Ce(Cp) 2(iPr 2-fmd)、Ce(MeCp) 2(iPr 2-fmd)、Ce(EtCp) 2(iPr 2-fmd)、Ce(nPrCp) 2(iPr 2-fmd)、Ce(tBuCp) 2(iPr 2-fmd)、Ce(iPr 2-fmd) 4、Ce(tBu 2-fmd) 4、Ce(iPrCp) 3(iPr 2-fmd)、Ce(iPrCp) 2(iPr 2-fmd) 2、Ce(iPrCp)(iPr 2-fmd) 3、Ce(Cp) 3(iPr 2-fmd)、Ce(Cp) 2(iPr 2-fmd) 2、Ce(Cp)(iPr 2-fmd) 3、Ce(MeCp) 3(iPr 2-fmd)、Ce(MECp) 2(iPr 2-fmd) 2、Ce(MeCp)(iPr 2-fmd) 3、Ce(EtCp) 3(iPr 2-fmd)、Ce(EtCp) 2(iPr 2-fmd) 2、Ce(EtCp)(iPr 2-fmd) 3、Ce(nPrCp) 3(iPr 2-fmd)、Ce(nPrCp) 2(iPr 2-fmd) 2、Ce(nPrCp)(iPr 2-fmd) 3、Ce(tBuCp) 3(iPr 2-fmd)、Ce(tBuCp) 2(iPr 2-fmd) 2及Ce(tBuCp) (iPr 2-fmd) 3中之至少一者。
在一些實施例中,該鈰前驅物包含一環戊二烯基配位基。換言之,且在一些實施例中,該鈰前驅物包含一環戊二烯基鈰。在一些實施例中,該鈰前驅物包含選自由Ce(Cp) 3、Ce(EtCp) 3、Ce(MeCp)3、Ce(nPrCp)3、Ce(tBuCp) 3、Ce(nBuCp) 3及Ce(iPrCp) 3所組成列表的一化合物。在一些實施例中,該鈰前驅物包含選自由Ce(Cp) 4、Ce(EtCp) 4、Ce(MeCp) 4、Ce(nPrCp) 4、Ce(tBuCp) 4、Ce(nBuCp) 4及Ce(iPrCp) 4所組成列表的一化合物。
在一些實施例中,該鈰前驅物包含諸如Ce(OCMe 2CH 2OMe) 4之一烷氧化鈰。
在一些實施例中,該鈰前驅物包含一或多個烷基矽烷胺鈰。一例示性烷基矽烷胺鈰包含Ce[N(SiMe 3) 2] 3
在一些實施例中,該稀土金屬碳化物層包含碳化釔,且該稀土金屬前驅物包含一釔前驅物。在一些實施例中,該釔前驅物包含一環戊二烯基配位基及/或一烷基取代環戊二烯基配位基,諸如EtCp。在一些實施例中,該釔前驅物包含一脒基配位基,例如,一烷基脒基配位基,諸如iPr-amd。一例示性釔前驅物包含Y(EtCp) 2(iPr-amd)。
在一些實施例中,該稀土金屬碳化物層包含碳化鑭,且該稀土金屬前驅物包含一鑭前驅物。在一些實施例中,該含稀土金屬碳化物層包含鑭,且該前驅物包含一鑭前驅物。
在一些實施例中,該鑭前驅物包含一或多個環戊二烯基配位基或其經烷基取代之變體。
在一些實施例中,該鑭前驅物包含一脒基鑭。
在一些實施例中,該鑭前驅物選自由La(iPrCp) 3 La(Cp) 3、La(EtCp) 3、La(MeCp) 3、La(nPrCp) 3、La(tBuCp) 3及La(nBuCp) 3所組成之列表。
在一些實施例中,該鑭前驅物包含一或多個經取代或未經取代環戊二烯基配位基。額外地或替代地,該鑭前驅物包含選自一烷基矽烷胺、一二氮雜二烯(diazadiene)及一脒基的一或多個配位基。
在一些實施例中,該碳反應物包含一鹵化C1至C6烷烴或烯烴。在一些實施例中,該碳反應物包含C、H及一鹵素。合適的鹵素包含F、Cl、Br及I。在一些實施例中,該碳反應物包含碘。合適的含碘碳反應物包含C 2H 5I、C 2H 4I 2、CH 2I 2、CHI 3、CH 3I及CI 4。14. 在一些實施例中,該碳反應物包含C 2H 4I 2
在一些實施例中,該第一導電層包含一第一過渡金屬氮化物。使用作為一第一過渡金屬氮化物之合適過渡金屬氮化物包含TiN、ZrN、HfN、VN、Mo、NbN、TaN、ScN、CrN、MoN及WN。
在一些實施例中,該第二導電層包含不同於該第一過渡金屬氮化物的一第二過渡金屬氮化物。使用作為一第二過渡金屬氮化物之合適過渡金屬氮化物包含TiN、ZrN、HfN、VN、Mo、NbN、TaN、ScN、CrN、MoN及WN。
在一些實施例中,該第一導電層包含一第一過渡金屬碳化物。使用作為一第一過渡金屬碳化物之合適過渡金屬碳化物包含TiC、VC、HfC、TaC、ZrC、ScC、NbC。
在一些實施例中,該第二導電層進一步包含不同於該第一過渡金屬碳化物的一第二過渡金屬碳化物。使用作為一第二過渡金屬碳化物之合適過渡金屬碳化物包含TiC、VC、HfC、TaC、ZrC、ScC及NbC。
在一些實施例中,該第二導電層包含碳化鋁。在一些實施例中,該第二導電層包含碳化鈦及碳化鋁。
在一些實施例中,該第一導電層及該第二導電層之至少一者包含氮化矽。在一些實施例中,該第一導電層包含氮化矽。在一些實施例中,該第二導電層包含氮化矽。
在一些實施例中,該第一導電層及該第二導電層之至少一者包含一金屬。在一些實施例中,該第一導電層包含一金屬。在一些實施例中,該第二導電層包含一金屬。合適的金屬包含Cu、Co、Al、V、Cr、Y、Re、Ru、Mo、W及Ti。
應理解,當一含稀土金屬碳化物層沉積在該第一導電層上時,及/或當該第二導電層沉積在該含稀土金屬碳化物層上時,該些層之組成成分可能發生一定程度的相互混合。例如,當一含碳化鈰層沉積在一氮化鈦層上時,例如藉由擴散、表面凝析或另一製程,鈦及氮之至少一者可被吸收進入該含碳化鈰層中。在一些實施例中,此類相互混合可導致形成包含該導電層及該含稀土金屬碳化物層兩者之成分的一中間層。例如,當一含碳化鈰層沉積於一氮化鈦層上時,此類之一中間層可包含碳氮化鈰。在一些實施例中,相互混合可導致該含稀土金屬碳化物層的合金化或摻雜。例如,當該含稀土金屬碳化物層沉積在一過渡金屬氮化物上時,該含稀土金屬碳化物層可以摻雜氮。
應理解,以下實施例可適用於本文中揭示之方法中之任一者,且無關於此類方法中所使用之前驅物及/或反應物,除非對應實施例使該方法無法實行。
在一些實施例中,該含稀土金屬碳化物層以每個循環0.1 nm或更小的生長速率生長。
在一些實施例中,該含稀土金屬碳化物層係於至少100°C至至多500°C,或至少200°C至至多450°C、或至少300°C至至多400°C、或至少350°C至至多450°C的溫度下沉積。
在一些實施例中,該前驅物係自溫度維持於至少25°C至至多200°C、或至少50°C至至多150°C、或至少75°C至至多125°C的一前驅物源提供至該反應室。
在一些實施例中,該反應物係自溫度維持在至少25°C至至多200°C、或至少50°C至至多150°C、或至少75°C至至多125°C的一反應物源提供至該反應室。
在一些實施例中,該含稀土金屬碳化物層係在至少0.01 Torr至至多100 Torr的壓力、或至少0.1 Torr至至多50 Torr的壓力、或至少0.5 Torr至至多25 Torr的壓力、或至少1 Torr至至多10 Torr的壓力、或至少2 Torr至至多5 Torr的壓力下沉積。
在一些實施例中,該含稀土金屬碳化物層具有至少0.3 nm到至多5.0 nm的厚度。
該含稀土金屬碳化物層可在任何合適的反應器中沉積。因此,在一些實施例中,該含稀土金屬碳化物層係於一交叉流反應器中沉積。在一些實施例中,該含稀土金屬碳化物層係於一噴灑頭反應器中沉積。在一些實施例中,該含稀土金屬碳化物層係於一熱壁反應器中沉積。這樣的做法可有利地提高含稀土金屬碳化物層沉積製程的一致性及/或可重複性。
在一些實施例中,在該循環沉積製程之後,該基板係在一包含氫及氮之環境中承受一退火步驟。合適地,該退火步驟可在至少300°C至至多600°C的溫度下執行。替代地,該退火步驟可在至少300°C至至多1000°C的溫度下執行。
在一些實施例中,該前驅物係自一溫控前驅物容器提供至該反應室。在一些實施例中,該溫控前驅物容器係配置以冷卻該前驅物。在一些實施例中,該溫控前驅物容器係配置以加熱該前驅物。在一些實施例中,該溫控前驅物容器係保持在至少-50°C至至多20°C的溫度、或至少20°C至至多250°C的溫度、或至少100°C至至多200°C的溫度。
在一些實施例中,該前驅物係藉由一載送氣體而提供至該反應室。示例性載送氣體包含氮及一惰性氣體,諸如He、Ne、Ar、Xe及Kr。
在一些實施例中,該等前驅物脈衝係持續自至少0.01 s至至多120 s、或自至少0.01 s至至多0.1 s、或自至少0.01 s至至多0.02 s、或自至少0.02 s至至多0.05 s、或自至少0.05 s至至多0.1 s、或自至少0.1 s至至多20 s、或自至少0.1 s至至多0.2 s、或自至少0.2 s至至多0.5 s、或自至少0.5 s至至多1.0 s、或自至少1.0 s至至多2.0 s、或自至少2.0 s至至多5.0 s、或自至少5.0 s至至多10.0 s、或自至少10.0 s至至多20.0 s。在一些實施例中,該等反應物脈衝係持續自至少0.1 s至至多20 s、或自至少0.1 s至至多0.2 s、或自至少0.2 s至至多0.5 s、或自至少0.5 s至至多1.0 s、或自至少1.0 s至至多2.0 s、或自至少2.0 s至至多5.0 s、或自至少5.0 s至至多10.0 s、或自至少10.0 s至至多20.0 s、或自至少20.0 s至至多120.0 s、或自至少20.0 s至至多50.0 s、或自至少50.0 s至至多80.0 s、或自至少80.0 s至至多120.0 s。
有利地,如本文所述之一循環沉積製程可為一熱沉積製程。換言之,在一些實施例中,在該循環沉積製程中的脈衝或沖洗皆不使用一電漿。在熱循環沉積製程的例子中,提供該前驅物至該反應室之步驟的一持續時間、提供該反應物至該反應室之步驟的一持續時間、提供該另一前驅物至該反應室之步驟的一持續時間及/或提供一含氫氣體至該反應室之步驟的一持續時間係可相對較長,以允許該前驅物、該反應物、另一前驅物及/或含氫氣體與該基板的一表面反應。例如,該持續時間可大於或等於5秒、或大於或等於10秒、或介於約5至10秒之間。
在一些實施例中,該循環沉積製程係使用一電漿增強沉積技術。例如,該循環沉積製程可包含一電漿增強型原子層沉積製程及/或一電漿增強型化學氣相沉積製程。在此類例子中,該循環沉積製程中該等脈衝之任一者可包含在該反應室中生成一電漿。
本文進一步描述一種系統,其包含一或多個反應室;一包含一前驅物之前驅物氣體源;一包含一反應物之反應物氣體源;一排氣源;及一控制器。該控制器係配置成控制進入該一或多個反應室中之至少一者的氣流,以執行如文中所述之方法。
本文進一步描述一電極。該電極包含具下列順序的一層堆疊:一第一導電層、一含稀土金屬碳化物層及一第二導電層。適當地,該含稀土金屬碳化物層包含選自碳化鑭、碳化釔、碳化鉺、碳化釓、碳化釹及碳化鈰的一稀土金屬碳化物。在一些實施例中,該含稀土金屬碳化物層包含碳化鈰。適當地,該電極可藉由本文所述之方法來製造。
在一些實施例中,該第一導電層包含一第一過渡金屬氮化物,諸如TiN、MoN、VN、HfN、TaN、ZrN、ScN或NbN。
在一些實施例中,該第二導電層包含一第二過渡金屬氮化物,諸如TiN、MoN、VN、HfN、TaN、ZrN、ScN或NbN。在一些實施例中,該第一層及該第二層具有一相同組成。在一些實施例中,該第一層及該第二層具有一不同組成。
該第一導電層、該含稀土金屬碳化物層及該第二導電層可具有任何合適的厚度,但一般而言,這些層的厚度係小於50 nm。在一些實施例中,該第一導電層具有至少0.3 nm至至多3 nm、或至少0.5 nm至至多2 nm、或至少1 nm至至多2 nm的厚度。在一些實施例中,該第二導電層具有至少0.3 nm至至多50 nm、或至少0.5 nm至至多30 nm、或至少1 nm至至多20 nm、或至少5 nm至至多10 nm的厚度。在一些實施例中,該含稀土金屬碳化物層具有至少0.3 nm至至多10 nm、或至少1 nm至至多5 nm的厚度。
本文進一步描述一種金氧半場效電晶體,其包含一包含如本文所述之電極的閘極堆疊。在一些實施例中,該金氧半場效電晶體係為一p通道金氧半場效電晶體。在其他實施例中,該金氧半場效電晶體係為一n通道金氧半場效電晶體。適當地,該電極係完全環繞該金氧半場效電晶體之通道區域,與具有一環繞式閘極結構之金氧半場效電晶體一樣。
現轉向圖式,圖1繪示依據本發明例示性實施例之方法。此方法可用於,例如,沉積在適用於NMOS及/或CMOS裝置之一閘極電極中使用的一含稀土金屬碳化物層。這些層尤其適合在p或n通道MOSFETs中使用作為臨限電壓控制層。然而,除非另有註明,方法不受限於此類應用。該方法在將一基板提供至一反應室後開始(111),且涉及藉由一可重複一或多次的循環沉積製程(116)而在該基板上沉積一含稀土金屬碳化物層。該反應室可為或包含配置以執行一循環沉積製程之一化學氣相沉積反應器系統的一反應室。額外地或替代地,該反應室可為或包含配置以執行一循環沉積製程之一原子層沉積反應器系統的一反應室。該反應室可為一獨立反應室或一叢聚式設備的部分。該循環沉積製程包含在一前驅物脈衝(112)中提供一含稀土金屬碳化物層至該反應室。在一些實施例中,該方法包含複數個循環,例如2、5、10或20個或更多個循環。可選地,在該前驅物脈衝(112)之後係沖洗(113)該反應室。接著,一碳反應物係於一反應物脈衝(114)中提供至該反應室。可選地,在該反應物脈衝之後可沖洗(115)該反應室。該前驅物脈衝(112)、該反應物脈衝(114)及該等可選的沖洗(113,115)可重複(116)任何次數,以獲得一具有一所需厚度之含稀土金屬碳化物層。當已沉積一具所需厚度之含稀土金屬碳化物層時,該方法結束(117)。該方法一旦結束,該基板可,例如,承受額外製程以形成一裝置結構及/或裝置。
圖2繪示依據本發明之例示性實施例的另一方法。類似於圖1之方法,圖2之方法可用於,例如,在用於一金氧半場效電晶體之一閘極電極結構中形成一含稀土金屬碳化物層。該方法在提供一基板至一反應室之後開始(211),且涉及藉由一可重複(218)一或多次的循環沉積製程而在該基板上沉積一含稀土金屬碳化物層。在一些實施例中,該方法包含複數個循環,例如2、5、10或20個或更多個循環。該反應室可為或包含配置以執行一循環沉積製程之一化學氣相沉積反應器系統的一反應室。額外地或替代地,該反應室可為或包含配置以執行一循環沉積製程之一原子層沉積反應器系統的一反應室。該反應室可為一獨立反應室或一叢聚式設備的部分。該循環沉積製程包含在一前驅物脈衝(212)中提供一含稀土金屬碳化物層至該反應室。可選地,一第一含氫氣體係接著在一第一氫脈衝(213)中提供至該反應室。接著,一碳反應物係在一反應物脈衝(214)中提供至該反應室。可選地,一第二含氫氣體係接著在一第二氫脈衝(215)中提供至該反應室。一另一前驅物係接著在一另一前驅物脈衝(216)中提供至該反應室。在該另一前驅物脈衝(216)之後,一第三含氫氣體係接著可選地在一第三氫脈衝(217)中提供至該反應室。該循環沉積製程可重複(218)一或多次,直至該含稀土金屬碳化物層已達到一所需厚度為止,隨後該方法結束(219)。該方法一旦結束,該基板可,例如,承受額外製程以形成一裝置結構及/或裝置。
可選地,任何前述的脈衝係可藉由一沖洗而分開。據此,在一些實施例中,該前驅物脈衝(212)及該第一氫脈衝(213)係藉由一沖洗而分開。在一些實施例中,該前驅物脈衝(212)及該反應物脈衝(214)係藉由一沖洗而分開。在一些實施例中,該第一氫脈衝(213)及該反應物脈衝(214)係藉由一沖洗而分開。在一些實施例中,該反應物脈衝(214)及該第二氫脈衝(215)係藉由一沖洗而分開。在一些實施例中,該反應物脈衝(214)及該另一前驅物脈衝(216)係藉由一沖洗而分開。在一些實施例中,該第二氫脈衝(215)及該另一前驅物脈衝(216)係藉由一沖洗而分開。在一些實施例中,該另一前驅物脈衝(216)及該第三氫脈衝(217)係藉由一沖洗而分開。在一些實施例中,該第三氫脈衝(217)係緊接著一沖洗。
圖3繪示依據本發明例示性實施例之另一方法。此方法可用於,例如,形成用於一金氧半場效電晶體的一閘極電極結構。圖3之方法包含將一基板定位於包含在一反應室中的一基板支座上(311)。該方法進一步包含沉積一第一導電層(312)。可選地,該方法接著包含沖洗該反應室(313)。接著,該方法包含在該第一導電層上沉積一含稀土金屬碳化物層(314)。可選地,該方法接著包含沖洗該反應室(315)。接著,該方法包含在該含稀土金屬碳化物層上沉積一第二導電層(316)。可選地,該方法接著包含沖洗該反應室(317)。接著,該方法結束(318)。該方法一旦結束,該基板可,例如,承受額外製程以形成一裝置結構及/或裝置。需注意,該第一及該第二導電層可包含任何導電材料,諸如一金屬或一氮化物或一碳化物,例如,一過渡金屬氮化物,諸如TiN,一過渡金屬,諸如Ti,或一過渡金屬碳化物,諸如TiC。可選地,根據圖3之製程可緊接著一熱處理步驟,諸如一合成氣體退火或一快速熱退火。
應理解,在圖3之方法中,該含稀土金屬碳化物層可使用如文中所揭示之一循環沉積製程而沉積。該循環沉積製程可包含循環CVD、ALD或一混合式循環CVD/ALD製程。較佳地,該循環沉積製程係採用當與一所選前驅物-反應物對相結合時允許發生自限制表面反應的反應條件。例如 ,在一些實施例中,相較一CVD製程,一特定ALD製程之生長速率係可為低。
根據圖1、2或3之方法中之任一者可包含在該反應室內將該基板加熱至一所需沉積溫度。在本發明之一些實施例中,該方法包含將該基板加熱至低於500 °C的溫度。例如,在本發明之一些實施例中,將該基板加熱至一沉積溫度可包含將該基板加熱至至少100°C至至多400°C的溫度、或至少150°C至至多350°C的溫度、或至少200°C至至多300°C的溫度。除了控制該基板的溫度外,亦可調節該反應室內之壓力。例如,在本發明之一些實施例中,於該循環沉積製程期間,該反應室內的壓力可小於760 Torr、或介於0.2 Torr與760 Torr、約1 Torr與100 Torr或約1 Torr與10 Torr之間。
根據圖1、2或3之方法之任一者可包含一或多個沖洗。在一沖洗期間,該反應室可使用一真空及/或一惰性氣體而進行沖洗,以緩和前驅物與反應物之間的氣相反應,並致能部分或完全自飽和表面反應,例如在ALD的例子中。額外地或替代地,該基板可移動以分別接觸一第一氣相反應物,例如一前驅物,及一第二氣相反應物,例如一反應物。額外地或替代地,氣態物種可在藉由一氣體移除裝置(諸如一泵)之沖洗之任一者期間自該反應室移除。在該基板與下一個反應性化學品接觸之前,剩餘的化學品及反應副產物(若有的話)係可諸如藉由沖洗該反應空間或藉由移動該基板而自該基板表面或該反應室移除。
圖4繪示依據本發明額外實例之一裝置(400)之一部份/一結構。該裝置或結構(400)包含一基板(410)、介電或絕緣材料,亦即,一閘極介電質(420)及一閘極電極(430)。該基板(400)可為或包含本文所述之基板材料中之任一者。該閘極介電質(420)可包含一或多個介電或絕緣材料層。舉實例而言,該閘極介電質(420)可包含一介面層(421)及沉積上覆於該介面層(421)的一高k材料(422)。在一些例子中,該介面層(421)可不存在、或可不以可察覺程度存在。該介面層(421)可包含一氧化物,諸如一氧化矽,其可使用,例如,一化學氧化製程或一氧化物沉積製程,而形成於該基板(410)之,例如,單晶矽表面上。該高k材料(422)可為或包含,例如,一具有大於約7之介電常數的金屬氧化物。在一些實施例中,該高k材料具有一高於氧化矽之介電常數的介電常數。例示性高k材料包含氧化鉿(HfO 2)、氧化鉭(Ta 2O 5)、氧化鋯(ZrO 2)、氧化鈦(TiO 2)、矽酸鉿(HfSiO x)、氧化鋁(Al 2O 3)或氧化鑭(La 2O 3),其混合物及其積層。該閘極電極(430)包含一第一導電層(431)、一含稀土金屬碳化物層(432)及一第二導電層(433)。該等層及用於形成該等層之沉積方法於本文中其他地方有更詳細描述。在一例示性實施例中,該第一導電層(431)及該第二導電層(433)之至少一者包含氮化鈦,且該含稀土金屬碳化物層(432)包含碳化鈰。
一包含一含稀土金屬碳化物層(432)之閘極電極(430)的一有效功函數可自至少4.0 eV至至多5.6 eV。一閘極堆疊的一有效功函數可使用如本文所述之含稀土金屬碳化物層而位移約10 meV至約400 meV、或約30 meV至約300 meV或約50 meV至約200 meV。
一含稀土金屬碳化物層(432)可形成厚度小於< 5 nm、< 4 nm、< 3 nm、< 2 nm、< 1.5 nm、< 1.2 nm、< 1.0 nm或< 0.9 nm的一連續膜。該含稀土金屬碳化物層(432)可相對較平滑,且具有相對低的晶界形成。在一些實例中,該含稀土金屬碳化物層(432)可至少部分為非晶形。在一些實施例中,該含稀土金屬碳化物層(432)可完全或實質上完全為非晶形。在小於10 nm的厚度下,一例示性含稀土金屬碳化物層(432)的RMS粗糙度可為< 1.0 nm、< 0.7 nm、< 0.5 nm、< 0.4 nm、< 0.35 nm或< 0.3 nm。在一些實施例中,該含稀土金屬碳化物層(432)可包含孤立的島、間隙及/或孔洞。該含稀土金屬碳化物層(432)甚至可完全由複數個孤立原子及/或原子團簇組成。
圖5繪示依據本發明實例之另一例示性結構(500)。該裝置或結構(500)包含一基板(510)、一閘極介電質(520)及一閘極電極(530)。該閘極介電質(520)包含一介面層(521)及一高k介電層(522)。一合適的介面層包含氧化矽。該結構進一步包含一包含一第一導電層(531)、一含稀土金屬碳化物層(532)及一第二導電層(533)的閘極電極(530)。在所繪示實例中,該基板(510)包含一源極區域(511)、一汲極區域(512)及一通道區域(513)。藉由向該閘極電極(530)施加偏壓,亦即一負或正電壓,可在該通道區域(513)中形成該源極區域(511)與該汲極區域(512)之間的一導電通道。該閘極介電質(520)及該閘極電極(530)之性質,尤其是該含稀土金屬碳化物層之性質,係決定此導電通道處的電壓。雖然繪示為一水平結構,依據本發明實例的結構及裝置可包含垂直及/或三維結構及裝置,諸如FinFET裝置、環繞式場效電晶體及堆疊裝置架構。
圖6繪示依據本發明實例之另一結構(600)。此結構(600)係適用於環繞式閘極場效電晶體(GAA FETs)(亦稱為側向奈米線FETs)裝置及類似者。在所繪示實例中,該結構(600)包含一半導體材料,亦即一通道區域(610)及一圍繞該通道區域(620)之閘極介電質(620)。該結構(600)進一步包含一圍繞該閘極介電質(620)的閘極電極。該閘極電極包含一第一導電層(631)、如本文所述的一含稀土金屬碳化物層(632)及一第二導電層(633)。 該通道區域(620)可包含任何合適的半導體材料。例如,該半導體材料可包含IV族、III-V族或II-VI族半導體材料。舉例而言,該半導體材料包含矽或更具體地單晶矽。
圖7繪示依據本發明額外例示性實施例的一系統(700)。該系統(700)可用以執行如本文所述之方法及/或形成如本文所述的結構或裝置部分。
在所繪示實例中,該系統(700)包含一或多個反應室(702)、一前驅物氣體源(704)、一反應物氣體源(706)、一沖洗氣體源(708)、一排氣(710)及一控制器(712)。該反應室(702)可包含任何合適的反應室,諸如ALD或CVD反應室。可選地,該系統(700)包含另一氣體源,諸如一可選的含氫氣體源(705)及一可選的另一前驅物源(未圖示)。
該前驅物氣體源(704)可包含一容器及單獨或與一或多個載送(例如惰性)氣體混合之如本文所述的一或多個前驅物。該反應物氣體源(706)可包含一容器及單獨或與一或多個載送氣體混合之如本文所述之一或多個反應物。該沖洗氣體源(708)可包含一或多個如本文所述之惰性氣體,諸如N 2或一惰性氣體。該系統(700)可包含任何合適數量的氣體源。該等氣體源(704)-(708)可經由管路(714)-(718)耦接到反應室(702),該等管路之每一者可包含流量控制器、閥、加熱器及類似者。該排氣(710)可包含一或多個真空泵。
該控制器(712)包含電子電路及軟體,以選擇性地操作閥、歧管、加熱器、泵及包含在該系統(700)中的其他組件。此類電路及組件係操作以自各別源(704)-(708)引入前驅物、反應物及沖洗氣體。該控制器(712)可控制氣體脈衝序列的時序、基板及/或反應室的溫度、反應室內的壓力及各種其他操作,以提供該系統(700)的適當操作。該控制器(712)可包含控制軟體,以電動或氣動地控制閥,進而控制前驅物、反應物及沖洗氣體進出該反應室(702)的流動。該控制器(712)可包含執行某些任務之模組,諸如一軟體或硬體組件,例如,一FPGA或ASIC。一模組可有利地配置以常駐在該控制系統之可定址儲存媒體上,並配置以執行一或多個製程。
包含不同數量及種類之前驅物及反應物源及沖洗氣體源之該系統(700)的其他配置係為可能。進一步地,將可理解,閥、導管、前驅物源及沖洗氣體源有許多可用以實現將氣體選擇性饋入該反應室(702)之目標的配置。進一步地,作為一系統示意圖,許多組件已為了簡化繪示而省略,且此類組件可包含,例如,各種閥、歧管、純化器、加熱器、容器、通氣孔及/或旁路。
在該反應器系統(700)的操作期間,諸如半導體晶圓(未圖示)的基板係自,例如,一基板處理系統轉移至反應室(702)。一旦(多個)基板被傳遞至該反應室(702)後,來自氣體源(704)-(708)之一或多個氣體,諸如前驅物、反應物、載送氣體及/或沖洗氣體,係被引入該反應室(702)。
圖8顯示一高深寬比測試結構之穿透式電子顯微照片,其係包含藉由如本文所揭示方法之一實施例而沉積的一含稀土金屬碳化物層。具體而言,面板a)係提供測試結構的縮小視圖,及面板b)係顯示一較高解析度顯微照片。該測試結構包含保形(conformally)沉積層的下列堆疊:HfO 2作為一高k介電質,1.5 TiN作為一第一導電層及5 nm碳化鈰作為一含稀土金屬碳化物層。如面板a)及b)中所示,本文所揭示之方法係允許獲得出色的階梯覆蓋率。樣品製備如下:TEM就緒樣品係在一FEI Strata 400 Dual Beam FIB/SEM上使用一原位聚焦離子束(FIB)提取技術而製備。該等樣品在研磨之前係以已濺鍍Ir及e-Pt/I-Pt封蓋。TEM薄片厚度為~100 nm。該等樣本係利用於200kV之明場(bright-field, BF)TEM模式、高解析度(high-resolution, HR)TEM模式及高角度環形暗場(high-angle annular dark-field, HAADF)STEM模式下操作的FEI Tecnai TF-20 FEG/TEM成像。該STEM探針尺寸係為0.2 nm標稱直徑。EDS光譜係使用FEI Osiris 4SDD系統而在STEM模式中獲得。
圖9顯示包含一具有及不具有一含稀土金屬碳化物層之閘極電極的金氧半電容器(MOSCAP)測試結構的電容-電壓(CV)曲線。該測試結構具體包含一p型基板、一閘極介電質及一閘極電極的一歐姆接觸。左邊曲線(910)顯示當使用一TiN電極,亦即一不具有含稀土金屬碳化物層之電極時,作為閘極偏壓之函數的CV量測。右邊曲線(920)顯示當使用一包含一含稀土金屬碳化物層之電極時,作為閘極偏壓之函數的CV量測。具體而言,該電極包含以下層:1.5 nm TiN作為一第一導電層,3 nm碳化鈰作為一含稀土金屬碳化物層及10 nm TiN作為一第二導電層。碳化鈰沉積係於425°C、1.3 Torr壓力下進行。Ce(iPrCp) 3係使用作為一前驅物。前驅物脈衝時間為6 s,且中間循環沖洗時間為10 s。二碘乙烷係使用作為一反應物。一溫度維持在130°C之容器係作為一前驅物源。二碘乙烷係在一容器中維持於室溫。該反應物脈衝時間係為5 s及該循環間沖洗時間係為10 s。該碳化鈰層係使用400個脈衝沉積而成。圖9所示之CV量測係顯示當一3 nm碳化鈰層併入該閘極電極中時,電極之有效功函數增加0.8 eV。該等CV曲線的執行頻率係為至少1kHz至至多100 kHz。
圖10顯示測試結構上之CV電壓量測的進一步結果,其與圖9背景脈絡中描述的測試結構相同,除了碳化鈰層的厚度之外。具體而言,圖10顯示具有1.5 nm、2.2 nm及3 nm之厚度的碳化鈰層之結果。該1.5 nm厚之層係使用400個循環沉積而成,該2.2 nm厚之層係使用600個循環沉積而成,及該3 nm厚之層係使用800個循環沉積而成。顯示為0 nm的資料點係為其中之電極不包含碳化鈰層之結構上的參考量測。該等量測指出,即使是對一厚度僅1.5 nm之碳化鈰層,該有效功函數亦可增加0.8 eV。當該碳化鈰層厚度進一步增加時,該有效功函數實質上不會再有任何進一步增加。該等量測額外指出,當使用一厚度僅為1.5 nm的碳化鈰層時,平帶電壓-0.7伏特的閘極電流係減小3個等級。當使用一較厚碳化鈰層時,該閘極電流實質上不會改變。當使用一僅1.5 nm的碳化鈰層時,MOSCAP之閘極堆疊的等效氧化物厚度增加僅0.1 nm。該等效氧化物厚度係隨著碳化鈰層厚度增加而逐漸增加。
圖11顯示如本文所描述之方法的另一實施例。具體而言,圖11顯示一種可用於沉積一含稀土金屬碳化物層之方法,諸如,例如,以作為在根據圖 3方法之一實施例中的一沉積一含稀土金屬碳化物層(314)之步驟。根據圖11之方法在一基板提供至一反應室之後開始(1111)。該反應室可為或包含配置以執行一循環沉積製程之一化學氣相沉積反應器系統的一反應室。額外地或替代地,該反應室可為或包含配置以執行一循環沉積製程之一原子層沉積反應器系統的一反應室。該反應室可為一獨立反應室或一叢聚式設備的部分。根據圖11之方法包含兩個子循環:一第一子循環(1119)及一第二子循環(1120)。在一些實施例中,該第一子循環(1119)及該第二子循環(1120)之至少一者係重複一或多次。該第一子循環(1119)包含藉由一可重複(1119)一或多次之循環沉積製程而沉積一含稀土金屬碳化物子層。重複次數係決定此含稀土金屬碳化物子層的厚度。該第一子循環(1119)包含一第一前驅物脈衝(1112),且一稀土金屬前驅物係於其中提供至該反應室。本文所提及之任何稀土金屬前驅物皆可用於該第一前驅物脈衝(1112)中。該第一子循環(1119)進一步包含一第一反應物脈衝(1113),且一第一反應物係於其中提供至該反應室。本文中所提及之任何碳反應物皆可在該第一反應物脈衝(1113)中提供至該反應室。該第二子循環(1120)包含一第二前驅物脈衝(1114)及一第二反應物脈衝(1115)。在該第二前驅物脈衝(1114)中,係提供一過渡金屬前驅物至該反應室。本文所提及之任何過渡金屬前驅物皆可用於該第二前驅物脈衝(1114)中。在該第二反應物脈衝中,係可使用任何氮反應物。合適的氮反應物包含含氮氣體,諸如N 2及NH 3。替代地,係可在該第二反應物脈衝中使用一碳反應物。合適的碳反應物包含本文中其他地方所提及之碳反應物。在一些實施例中,該第一子循環(1119)及該第二子循環(1120)之至少一者係重複一或多次。在一些實施例中,該第一子循環(1119)係重複自至少1次至至多2、5、10或20次。在一些實施例中,該第二子循環(1120)係重複自至少1次至至多2、5、10或20次。重複次數係決定在該第一子循環(1119)及該第二子循環(1120)期間所沉積之該等子層的厚度。該方法進一步包含一超循環(1118)。該超循環(1118)包含該第一子循環(1119)及該第二子循環(1120)。在一些實施例中,該超循環(1118)係重複一或多次。在一些實施例中,該超循環(1118)係重複自至少1次至至多2、5、10或20次。藉由重複該超循環(1118)數次,可獲得一包含複數個交替子層的層。該第一子循環(1118)可重複任何次數,以到達一所需層厚度。可選地,可在該第一前驅物脈衝(1112)之後沖洗該反應室。可選地,可在該第一反應物脈衝(1113)之後沖洗該反應室。可選地,可在該第二前驅物脈衝(1114)之後沖洗該反應室。可選地,可在該第二反應物脈衝(1115)之後沖洗該反應室。當已沉積一具所需厚度之層時,該方法結束(1121)。該方法一旦結束,該基板可,例如,承受額外製程以形成一裝置結構及/或裝置。
前述本發明的示例性實施例並未限制本發明的範疇,因為這些實施例僅是本發明之多個實施例的示例,其是由隨附之申請專利範圍及其法律上等義者所限定。任何等效實施例皆意欲落入本發明之範疇內。實際上,除本文中所示及所述者以外,所屬技術領域中具有通常知識者可由本說明書明白本發明之各種修改,諸如所述元件之替代可用組合。此類修改及實施例亦意欲落入隨附之申請專利範圍的範疇內。
111:開始 112:前驅物脈衝 113:沖洗 114:反應物脈衝 115:沖洗 116:重複 117:結束 211:開始 212:前驅物脈衝 213:第一氫脈衝 214:反應物脈衝 215:第二氫脈衝 216:另一前驅物脈衝 217:第三氫脈衝 218:重複 219:結束 311:將基板定位於基板支座上 312:沉積第一導電層 313:沖洗 314:沉積含稀土金屬碳化物層 315:沖洗 316:沉積第二導電層 317:沖洗 318:結束 400:結構 410:基板 420:閘極介電質 421:介面層 422:高k材料 430:閘極電極 431:第一導電層 432:含稀土金屬碳化物層 433:第二導電層 500:結構 510:基板 511:源極區域 512:汲極區域 513:通道區域 520:閘極介電質 521:介面層 522:高k介電層 530:一閘極電極 531:第一導電層 532:含稀土金屬碳化物層 533:第二導電層 600:結構 610:通道區域 620:閘極介電質 631:第一導電層 632:含稀土金屬碳化物層 633:第二導電層 700:系統 702:反應室 704:前驅物氣體源 705:含氫氣體源 706:反應物氣體源 708:沖洗氣體源 710:排氣 712:控制器 714~718:管路 910:曲線 920:曲線 1111:開始 1112:第一前驅物脈衝 1113:第一反應物脈衝 1114:第二前驅物脈衝 1115:第二反應物脈衝 1118:超循環 1119:第一子循環 1120:第二子循環 1121:結束
當結合下列闡釋性圖式考慮時,可藉由參照實施方式及申請專利範圍而得到對本發明之實施例的更完整瞭解。 [圖1]繪示依據本發明例示性實施例之方法。 [圖2]繪示依據本發明例示性實施例之方法。 [圖3]繪示依據本發明例示性實施例之方法。 [圖4]繪示依據本發明例示性實施例之結構(400)。 [圖5]繪示依據本發明例示性實施例之結構(500)。 [圖6]繪示依據本發明例示性實施例之結構(600)。 [圖7]繪示依據本發明例示性實施例之系統(700)。 [圖8]顯示藉由根據本發明方法之實施例所沉積之例示性含碳化鈰層的穿透式電子顯微照片。 [圖9]顯示一包含一含碳化鈰層之測試結構與一參考相較的電容電壓量測,其中該測試結構係藉由根據本發明方法之實施例而沉積。 [圖10]顯示一包含一含碳化鈰層的測試結構與一參考相較之得自電容電壓量測的測試結果,其中該測試結構係藉由根據本發明方法之實施例而沉積。 [圖11]繪示依據本發明例示性實施例之方法。 將瞭解,圖式中之元件係為了簡明及清楚起見而繪示且不必然按比例繪製。例如,圖式中一些元件之尺寸可相對於其他元件而言放大,以幫助改善對所繪示本發明實施例的理解。
111:開始
112:前驅物脈衝
113:沖洗
114:反應物脈衝
115:沖洗
116:重複
117:結束

Claims (20)

  1. 一種用於在一基板上沉積一含稀土金屬碳化物層之方法,該方法包括下列步驟: -提供一基板至一反應室內,該基板包括一表層; -藉由一循環沉積製程將一含稀土金屬碳化物層沉積至該表層上,該循環沉積製程包括一或多個循環,一循環包括: -在一前驅物脈衝中將一稀土金屬前驅物提供至該反應室;以及 -在一反應物脈衝中將一碳反應物提供至該反應室; 從而在該基板上形成一含稀土金屬碳化物層。
  2. 一種在一基板上形成一電極之方法,該方法包括下列步驟: -提供一基板至一反應室內,該基板包括一閘極介電質; -在該閘極介電質上沉積一第一導電層; -藉由一循環沉積製程將一含稀土金屬碳化物層沉積至該第一導電層上,該循環沉積製程包括一或多個循環,一循環包括: -在一前驅物脈衝中將一稀土金屬前驅物提供至該反應室;以及 -在一反應物脈衝中將一碳反應物提供至該反應室;以及 -在該稀土金屬碳化物層上沉積一第二導電層; 從而在該基板上形成一電極,該電極包含該第一導電層、該含稀土金屬碳化物層及該第二導電層。
  3. 如請求項1或2所述之方法,其中該含稀土金屬碳化物層包括選自碳化鑭、碳化釔、碳化鉺、碳化釤、碳化銪、碳化鐿及碳化鈰的一稀土金屬碳化物;且其中該稀土金屬前驅物選自一鑭前驅物、釔前驅物、鉺前驅物、釤前驅物、銪前驅物、鐿前驅物及鈰前驅物。
  4. 如請求項1至3中任一項所述之方法,其中該稀土金屬前驅物包括一+3氧化態稀土金屬及一+4氧化態稀土金屬之至少一者。
  5. 如請求項1至4中任一項所述之方法,其中該稀土金屬碳化物層包括碳化鈰,且其中該稀土金屬前驅物包含一鈰前驅物。
  6. 如請求項1至5中任一項所述之方法,其中該稀土金屬前驅物包括一經取代或未經取代環戊二烯基配位基。
  7. 如請求項6所述之方法,其中該稀土金屬前驅物包括Ce(iPrCp) 3
  8. 如請求項1至7中任一項所述之方法,其中該碳反應物包括一鹵化C1至C6烷或烯烴。
  9. 如請求項1至8中任一項所述之方法,其中該碳反應物包括碘。
  10. 如請求項2至9中任一項所述之方法,其中該第一導電層包括一第一過渡金屬氮化物。
  11. 如請求項2至10中任一項所述之方法,其中該第二導電層包括一第二過渡金屬氮化物。
  12. 如請求項2至11中任一項所述之方法,其中該第一導電層包括一第一過渡金屬碳化物。
  13. 如請求項2至12中任一項所述之方法,其中該第二導電層包括一第二過渡金屬碳化物。
  14. 如請求項1至13中任一項所述之方法,其中該前驅物脈衝先於該反應物脈衝。
  15. 如請求項14所述之方法,其中該循環沉積製程進一步包括一第一氫脈衝,且其中該第一氫脈衝包括提供一第一含氫氣體至該反應室。
  16. 如請求項15所述之方法,其中該第一氫脈衝發生於該前驅物脈衝之後且於該反應物脈衝之前。
  17. 如請求項16所述之方法,其中該循環沉積製程進一步包括一第二氫脈衝,其中該第二氫脈衝包括提供一第二含氫氣體至該反應室,且其中該第二氫脈衝發生在該反應物脈衝之後。
  18. 如請求項1至17中任一項所述之方法,其中該循環沉積製程包括一另一前驅物脈衝,其中該另一前驅物衝包括提供一另一前驅物至該反應室,且其中該另一前驅物係與該稀土金屬前驅物不同。
  19. 如請求項18所述之方法,其中該另一前驅物包括一稀土金屬及一過渡金屬的至少一者。
  20. 一種系統,包括: 一或多個反應室; 一前驅物氣體源,包括一前驅物; 一反應物氣體源,包括一反應物; 一排氣源;以及 一控制器, 其中該控制器係配置以控制進入該一或多個反應室之至少一者中的氣流,以執行如請求項1至19中任一項所述之方法。
TW110145570A 2020-12-14 2021-12-07 形成臨限電壓控制用之結構的方法 TW202233884A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063125194P 2020-12-14 2020-12-14
US63/125,194 2020-12-14

Publications (1)

Publication Number Publication Date
TW202233884A true TW202233884A (zh) 2022-09-01

Family

ID=81898978

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110145570A TW202233884A (zh) 2020-12-14 2021-12-07 形成臨限電壓控制用之結構的方法

Country Status (4)

Country Link
US (1) US20220189775A1 (zh)
KR (1) KR20220085020A (zh)
CN (1) CN114628232A (zh)
TW (1) TW202233884A (zh)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI536451B (zh) * 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
KR102263765B1 (ko) * 2015-04-08 2021-06-09 에스케이하이닉스 주식회사 반도체 소자, 그의 제조 방법, 및 이를 구비하는 반도체 장치
US10458018B2 (en) * 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same

Also Published As

Publication number Publication date
KR20220085020A (ko) 2022-06-21
CN114628232A (zh) 2022-06-14
US20220189775A1 (en) 2022-06-16

Similar Documents

Publication Publication Date Title
TWI797232B (zh) 於反應腔室內藉由循環沉積製程於基板上沉積材料膜之方法及相關裝置結構
US20210327715A1 (en) Method of forming chromium nitride layer and structure including the chromium nitride layer
US11286558B2 (en) Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11056567B2 (en) Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US20210180184A1 (en) Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US20200328285A1 (en) Titanium aluminum and tantalum aluminum thin films
US11769664B2 (en) Methods for depositing a hafnium lanthanum oxide film on a substrate by a cyclical deposition process in a reaction chamber
US20220139713A1 (en) Molybdenum deposition method
KR20220137547A (ko) 갭 충진 방법과 이와 관련된 시스템 및 소자
US11996286B2 (en) Silicon precursors for silicon nitride deposition
TW202233884A (zh) 形成臨限電壓控制用之結構的方法
CN112420489A (zh) 沉积氮化钼膜的方法和包括氮化钼膜的半导体装置结构
US20220285146A1 (en) Methods and systems for forming a layer comprising vanadium and nitrogen
US11898243B2 (en) Method of forming vanadium nitride-containing layer
US11887857B2 (en) Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US20240234129A1 (en) Methods and systems for forming structures comprising a threshold voltage tuning layer
US20220285147A1 (en) Methods and systems for forming a layer comprising aluminum, titanium, and carbon
TWI841680B (zh) 於反應腔室中藉由循環沉積製程於基板上沉積鉿鑭氧化物膜之方法
KR20230012422A (ko) 전이 금속과 13족 원소를 포함한 층을 형성하기 위한 방법 및 시스템
KR20220020210A (ko) 기판 상에 티타늄 알루미늄 카바이드 막 구조체 및 관련 반도체 구조체를 증착하는 방법
TW202208671A (zh) 形成包括硼化釩及磷化釩層的結構之方法
KR20240110502A (ko) 임계 전압 튜닝 층을 포함한 구조체를 형성하기 위한 방법 및 시스템