KR20220085020A - 임계 전압 제어용 구조체를 형성하는 방법 - Google Patents

임계 전압 제어용 구조체를 형성하는 방법 Download PDF

Info

Publication number
KR20220085020A
KR20220085020A KR1020210176542A KR20210176542A KR20220085020A KR 20220085020 A KR20220085020 A KR 20220085020A KR 1020210176542 A KR1020210176542 A KR 1020210176542A KR 20210176542 A KR20210176542 A KR 20210176542A KR 20220085020 A KR20220085020 A KR 20220085020A
Authority
KR
South Korea
Prior art keywords
rare earth
precursor
earth metal
carbide
layer
Prior art date
Application number
KR1020210176542A
Other languages
English (en)
Inventor
드뤼넌 마르트 판
찰스 데젤라
치 씨에
페트로 데민스키
쥬세뻬 알레씨오 베르니
렌-지에 창
리푸 첸
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20220085020A publication Critical patent/KR20220085020A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

희토류 금속 탄소 함유 층을 기판 표면 상에 증착하기 위한 방법 및 시스템과, 본 방법을 사용하여 형성된 구조체 및 소자가 개시된다. 예시적인 방법은 희토류 금속 탄화물 함유 층을 기판의 표면 상에 증착하기 위한 원자층 증착 공정과 같은 주기적 증착 공정을 사용하는 단계를 포함한다.

Description

문턱 전압 제어를 위한 구조체 형성 방법{METHOD OF FORMING STRUCTURES FOR THRESHOLD VOLTAGE CONTROL}
본 개시는 일반적으로 기판 표면 상에 층을 형성하기에 적합한 방법 및 시스템, 그리고 상기 층을 포함하는 구조체에 관한 것이다. 보다 구체적으로, 본 개시는 금속-산화물-반도체 전계 효과 트랜지스터(MOSFET)의 임계 전압을 제어시키는 층의 형성 방법 및 시스템, 그리고 상기 방법 및 시스템을 사용하여 형성된 구조체에 관한 것이다.
예를 들어, 상보성 금속-산화물-반도체(CMOS) 소자와 같은 반도체 소자의 스케일링은 집적 회로의 속도 및 밀도에 있어서 상당한 개선이 이루어졌다. 그러나, 종래의 소자 스케일링 기술은 미래의 기술 분기점에서 큰 도전에 직면해 있다. 예를 들어, 하나의 도전 과제는, 전계 효과 트랜지스터의 채널과 게이트 사이에 절연 장벽을 형성하는, 적절한 유전체 스택을 찾는 것이다. 이와 관련하여 한 가지 특별한 문제점은 전계 효과 트랜지스터의 임계 전압을 제어하는 것이다.
이 부분에 진술된 문제점 및 해결책을 포함한 임의의 논의는, 단지 본 개시에 대한 맥락을 제공하는 목적으로만 본 개시에 포함되었다. 이러한 논의는 임의의 또는 모든 정보가 본 발명이 만들어졌거나 그렇지 않으면 선행 기술을 구성하는 시점에 알려진 것으로 간주되어서는 안된다.
본 발명의 내용은 개념의 선택을 단순화된 형태로 도입할 수 있으며, 이는 이하에서 더욱 상세히 설명될 수 있다. 본 발명의 내용은 청구된 요지의 주된 특징 또는 본질적인 특징을 필수적으로 구분하려는 의도가 아니며 청구된 요지의 범주를 제한하기 위해 사용하려는 의도 또한 아니다.
본 개시의 다양한 구현예는, 희토류 금속 탄화물 함유 층을 포함한 구조체를 형성하는 방법, 이러한 방법을 사용하여 형성된 구조체 및 소자, 그리고 상기 방법을 수행하고/수행하거나 상기 구조체 및/또는 소자를 형성하기 위한 장치에 관한 것이다. 희토류 금속 탄화물 함유 층은 집적 회로에서의 전력 소비를 감소시키는 것을 포함하여 다양한 응용에서 사용될 수 있다. 상기 방법은 주기적 증착 공정을 포함할 수 있다. 주기적 증착 공정은 원자층 증착 공정 또는 주기적 화학 기상 증착 공정 중 하나 이상을 포함할 수 있다. 주기적 증착 공정은 써멀 공정-즉, 플라즈마 활성화 종을 사용하지 않는 공정을 포함할 수 있다. 일부 경우에, 반응물은 플라즈마에 노출되어 활성화된 반응물 종, 예를 들어 라디칼 및/또는 이온을 형성할 수 있다.
기판 상에 희토류 금속 탄화물 함유 층을 증착하는 방법이 본원에 설명된다. 상기 방법은, 기판을 반응 챔버 내에 제공하는 단계를 포함한다. 기판은 표면 층을 포함한다. 상기 방법은 주기적 증착 공정에 의해 희토류 금속 탄화물 함유 층을 상기 표면 층 상에 증착하는 단계를 추가로 포함한다. 주기적 증착 공정은 하나 이상의 사이클을 포함한다. 사이클은 전구체 펄스 및 반응물 펄스를 포함한다. 전구체 펄스는 희토류 금속 전구체를 반응 챔버에 제공하는 단계를 포함한다. 반응물 펄스는 탄소 반응물을 반응 챔버에 제공하는 단계를 포함한다. 따라서, 희토류 금속 탄화물 함유 층이 기판 상에 형성된다.
기판 상에 전극을 형성하기 위한 방법이 본원에서 추가로 설명된다. 상기 방법은, 기판을 반응 챔버 내에 제공하는 단계를 포함한다. 기판은 게이트 유전체를 포함한다. 상기 방법은 주기적 증착 공정에 의해, 게이트 유전체 상에 제1 전도성 층을 증착하는 단계, 및 후속하여 제1 전도성 층 상에 희토류 금속 탄화물 함유 층을 증착하는 단계를 추가로 포함한다. 주기적 증착 공정은 하나 이상의 사이클을 포함한다. 사이클은 전구체 펄스 및 반응물 펄스를 포함한다. 전구체 펄스는 희토류 금속 전구체를 반응 챔버에 제공하는 단계를 포함한다. 반응물 펄스는 탄소 반응물을 반응 챔버에 제공하는 단계를 포함한다. 그 다음, 상기 방법은 희토류 금속 탄화물 상에 제2 전도성 층을 증착하는 단계를 포함한다. 따라서, 전극이 기판 상에 형성된다. 전극은 제1 전도성 층, 희토류 금속 탄화물 함유 층, 및 제2 전도성 층을 포함한다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 란타늄 탄화물, 이트륨 탄화물, 에르븀 탄화물, 사마륨 탄화물, 유로피움 탄화물, 이터븀 탄화물, 및 세륨 탄화물로부터 선택된 희토류 금속 탄화물을 포함하고, 희토류 금속 전구체는 란타늄 전구체, 이트륨 전구체, 에르븀 전구체, 사마륨 전구체, 유로피움 전구체, 이터븀 전구체, 및 세륨 전구체로부터 선택된다.
일부 구현예에서, 희토류 금속 전구체는 산화 상태 +3의 희토류 금속과 산화 상태 +4의 희토류 금속 중 적어도 하나를 포함한다.
일부 구현예에서, 희토류 금속 탄화물 층은 세륨 탄화물을 포함하고, 희토류 금속 전구체는 세륨 전구체를 포함한다.
일부 구현예에서, 희토류 금속 전구체는 치환 또는 미치환된 시클로펜타디에닐 리간드를 포함한다.
일부 구현예에서, 희토류 금속 전구체는 C1 내지 C4 알킬 치환된 시클로펜타디에닐 리간드를 포함한다.
일부 구현예에서, 알킬 치환된 시클로펜타디에닐 리간드는 EtCp, MeCp, iPrCp, nBuCp, 및 tBuCp로부터 선택된다.
일부 구현예에서, 희토류 금속 전구체는 알킬실릴 치환된 시클로펜타디에닐 리간드를 포함한다.
일부 구현예에서, 세륨 전구체는 Ce(iPrCp)3이다.
일부 구현예에서, 탄소 반응물은 할로겐화 C1 내지 C6 알칸 또는 알켄을 포함한다.
일부 구현예에서, 탄소 반응물은 요오드를 포함한다.
일부 구현예에서, 탄소 반응물은 C2H5I, C2H4I2, CH2I2, CHI3, CH3I, 및 CI4로부터 선택된다.
일부 구현예에서, 탄소 반응물은 C2H4I2를 포함한다.
일부 구현예에서, 제1 전도성 층은 제1 전이금속 질화물을 포함한다.
일부 구현예에서, 제1 전이금속 질화물은 티타늄 질화물을 포함한다.
일부 구현예에서, 제2 전도성 층은 제2 전이금속 질화물을 포함한다.
일부 구현예에서, 제2 전이금속 질화물은 티타늄 질화물을 포함한다.
일부 구현예에서, 제1 전도성 층은 제1 전이금속 탄화물을 포함한다.
일부 구현예에서, 제1 전이금속 탄화물은 티타늄 탄화물을 포함한다.
일부 구현예에서, 제2 전도성 층은 제2 전이금속 탄화물을 포함한다.
일부 구현예에서, 제2 전이금속 탄화물은 티타늄 탄화물을 포함한다.
일부 구현예에서, 제1 전도성 층과 제2 전도성 층 중 적어도 하나는 실리콘 질화물을 포함한다.
일부 구현예에서, 제1 전도성 층과 제2 전도성 층 중 적어도 하나는 금속을 포함한다.
일부 구현예에서, 전구체 펄스는 반응물 펄스에 선행한다.
일부 구현예에서, 주기적 증착 공정은 제1 수소 펄스를 추가로 포함하고, 제1 수소 펄스는 제1 수소 함유 가스를 반응 챔버에 제공하는 단계를 포함한다.
일부 구현예에서, 제1 수소 함유 가스는 H2를 포함한다.
일부 구현예에서, 제1 수소 펄스는, 전구체 펄스 이후 및 반응물 펄스 이전에 발생한다.
일부 구현예에서, 주기적 증착 공정은 제2 수소 펄스를 추가로 포함한다. 제2 수소 펄스는 제2 수소 함유 가스를 반응 챔버에 제공하는 단계를 포함하고, 제2 수소 펄스는 반응물 펄스 후에 발생한다.
일부 구현예에서, 제2 수소 함유 가스는 H2를 포함한다.
일부 구현예에서, 주기적 증착 공정은 추가 전구체 펄스를 포함한다. 추가 전구체 펄스는 반응 챔버에 추가 전구체를 제공하는 단계를 포함한다.
일부 구현예에서, 추가 전구체 및 희토류 금속 전구체는 상이하다.
일부 구현예에서, 추가 전구체는 희토류 금속 및 전이금속 중 적어도 하나를 포함한다.
일부 구현예에서, 추가 전구체 펄스 다음에 제3 수소 펄스가 이어진다. 제3 수소 펄스는 제3 수소 함유 가스를 반응 챔버에 제공하는 단계를 포함한다.
일부 구현예에서, 제3 수소 함유 가스는 H2를 포함한다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 0.1 nm/사이클 이하의 성장 속도로 성장한다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 적어도 350°C 내지 최대 500°C의 온도에서 증착된다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 적어도 0.5 토르 내지 최대 5 토르의 압력에서 증착된다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 적어도 0.5 nm 내지 최대 5.0 nm의 두께를 갖는다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 교차 유동 반응기 내에 증착된다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 샤워헤드 반응기 내에 증착된다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 핫-월 반응기 내에 증착된다.
일부 구현예에서, 전구체는, 온도 제어된 전구체 용기로부터 반응 챔버에 제공된다.
일부 구현예에서, 온도 제어된 전구체 용기는, 적어도 100°C 내지 최대 200°C의 온도에서 유지된다.
일부 구현예에서, 전구체는 캐리어 가스에 의해 반응 챔버에 제공된다.
일부 구현예에서, 캐리어 가스는 질소 또는 불활성 가스이다.
일부 구현예에서, 주기적 증착 공정은 써멀 공정을 포함한다.
시스템이 추가로 본원에 설명된다. 시스템은, 하나 이상의 반응 챔버; 전구체를 포함한 전구체 가스 공급원; 반응물을 포함한 반응물 가스 공급원; 배기 공급원; 및 제어기를 포함한다. 제어기는, 본원에 설명된 바와 같은 방법을 수행하기 위해 하나 이상의 반응 챔버 중 적어도 하나 내로 가스 흐름을 제어하도록 구성된다.
전극이 추가로 본원에 설명된다. 전극은 층 스택을 다음의 순서로 포함한다: 제1 전도성 층, 희토류 금속 탄화물 함유 층, 및 제2 전도성 층.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 란타늄 탄화물, 이트륨 탄화물, 에르븀 탄화물, 및 세륨 탄화물로부터 선택된 희토류 금속 탄화물을 포함한다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 세륨 탄화물을 포함한다.
일부 구현예에서, 제1 전도성 층은 제1 전이금속 질화물을 포함한다.
일부 구현예에서, 제1 전이금속 질화물은 티타늄 질화물을 포함한다.
일부 구현예에서, 제2 전도성 층은 제2 전이금속 질화물을 포함한다.
일부 구현예에서, 제2 전이금속 질화물은 티타늄 질화물을 포함한다.
일부 구현예에서, 제1 전도성 층은 적어도 0.8 nm 내지 최대 4.5 nm의 두께를 갖는다.
일부 구현예에서, 제2 전도성 층은 적어도 1 nm 내지 최대 20 nm의 두께를 갖는다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 적어도 0.07 nm 내지 최대 5 nm의 두께를 갖는다.
본원에서 설명된 전극이, 본원에 설명된 방법에 의해 형성된다.
게이트 스택을 포함한 금속-산화물-반도체 전계 효과 트랜지스터가 추가로 설명된다. 게이트 스택은 본원에 설명된 바와 같은 전극을 포함한다.
일부 구현예에서, 금속-산화물-반도체 전계 효과 트랜지스터는 게이트-올-어라운드 아키텍처를 갖는다.
이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다. 본 발명은 개시된 임의의 특정 구현예에 한정되지 않는다.
다음의 예시적인 도면과 연관하여 고려되는 경우에 발명의 상세한 설명 및 청구범위를 참조함으로써, 본 개시의 구현예에 대해 더욱 완전한 이해를 얻을 수 있다.
도 1은 본 개시의 예시적 구현예에 따른 방법을 나타낸다.
도 2는 본 개시의 예시적 구현예에 따른 방법을 나타낸다.
도 3은 본 개시의 예시적 구현예에 따른 방법을 나타낸다.
도 4는 본 개시의 예시적 구현예에 따른 구조체(400)를 나타낸다.
도 5는 본 개시의 예시적 구현예에 따른 구조체(500)를 나타낸다.
도 6은 본 개시의 예시적 구현예에 따른 구조체(600)를 나타낸다.
도 7은 본 개시의 예시적 구현예에 따른 시스템(700)을 나타낸다.
도 8은 본 개시에 따른 방법의 구현예에 의해 증착된 예시적인 세륨 탄화물 함유 층의 투과 전자 현미경 사진을 나타낸다.
도 9는, 기준과 비교하면, 본 개시에 따른 방법의 구현예에 의해 증착된 세륨 탄화물 함유 층을 함유한 테스트 구조체에서의 정전용량 전압 측정을 나타낸다.
도 10은, 기준과 비교하면, 본 개시에 따른 방법의 구현예에 의해 증착된 세륨 탄화물 함유 층을 함유한 테스트 구조체에서의 정전용량 전압 측정으로부터 얻은 테스트 결과를 나타낸다.
도 11은 본 개시의 예시적 구현예에 따른 방법을 나타낸다.
도면의 구성 요소들은 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
아래에 제공된 방법, 구조체, 소자 및 시스템의 예시적인 구현예의 설명은 단지 예시적인 것이고, 예시의 목적으로만 의도된 것이며, 다음의 설명은 본 개시의 범주 또는 청구 범위를 제한하고자 함이 아니다. 또한, 특징부를 기술한 다수 구현예를 인용하는 것이 추가적인 특징부를 갖는 다른 구현예 또는 명시된 특징부의 다른 조합을 포함한 다른 구현예를 배제하고자 함이 아니다. 예를 들어, 다양한 구현예가 예시적인 구현예로서 제시되고, 종속된 청구범위에 인용될 수 있다. 달리 언급되지 않는 한, 예시적인 구현예 또는 이의 구성 요소는 조합될 수 있거나 서로 분리되어 적용될 수 있다.
이하에서 더욱 상세히 설명되는 바와 같이, 본 개시의 다양한 구현예는 전계 효과 트랜지스터용 게이트 유전체 또는 이의 일부와 같은 구조를 형성하기 위한 방법을 제공한다. 예시적인 방법은, 예를 들어 CMOS 소자 또는 이 소자의 부분을 형성하는 데 사용될 수 있다. 그러나, 달리 언급되지 않는 한, 본 발명은 반드시 이러한 예시로 제한되지는 않는다.
본 개시에서, "가스"는 정상 온도 및 압력(NTP)에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 즉 가스 분배 어셈블리, 다른 가스 분배 장치 등을 통과하지 않고 유입되는 가스는, 예를 들어 반응 공간을 밀폐하기 위해 사용될 수 있고, 희귀 가스와 같은 밀폐 가스를 포함할 수 있다. 일부 경우에서, 용어 "전구체"는 다른 화합물을 생성하는 화학 반응에 참여하는 화합물, 특히 막 매트릭스 또는 막의 메인 골격을 구성하는 화합물을 지칭할 수 있으며; 용어 "반응물"은 용어 전구체와 상호 교환적으로 사용될 수 있다.
본원에서 사용되는 바와 같이, 용어 "기판"은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, 또는 II-VI족 또는 III-V족 반도체 재료와 같은 다른 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층의 적어도 일부 내에 또는 그 위에 형성된 다양한 특징부, 예컨대 오목부, 돌출부 등을 포함할 수 있다. 예로서, 기판은 벌크 반도체 재료, 및 상기 벌크 반도체 재료의 적어도 일부분 위에 놓인 절연 또는 유전체 재료 층을 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "막" 및/또는 "층"은 본원에 개시된 방법에 의해 증착된 재료와 같이 임의의 연속적인 또는 비연속적인 구조 및 재료를 지칭할 수 있다. 예를 들어, 막 및/또는 층은 이차원 재료, 삼차원 재료, 나노입자 또는 심지어는 부분 또는 전체 분자층 또는 부분 또는 전체 원자층 또는 원자 및/또는 분자 클러스터, 또는 격리된 원자 및/분자로 이루어진 층을 포함할 수 있다. 막 또는 층은 핀홀을 갖는 재료 또는 층을 포함할 수 있고, 이는 연속적이거나 아닐 수 있다.
본원에서 사용되는 바와 같이, 용어 "게이트 올 어라운드 트랜지스터"는, 반도체 채널 영역 주위에 래핑된 전도성 재료를 포함하는 소자를 지칭할 수 있다. 본원에서 사용되는 바와 같이, 용어 "게이트 올 어라운드 트랜지스터"는 나노시트 소자, 포크시트 소자, 수직 FET, 스택형 소자 아키텍처 등과 같은 다양한 소자 아키텍처를 지칭할 수도 있다.
용어 "주기적 증착 공정" 또는 "순환 증착 공정"은 반응 챔버 내로 전구체(및/또는 반응물)를 순차적으로 도입시켜 기판 위에 층을 증착하는 것을 지칭할 수 있으며 원자층 증착(ALD) 및 주기적 화학 기상 증착(주기적 CVD), 및 ALD 성분과 주기적 CVD 성분을 포함한 하이브리드 주기적 증착 공정과 같은 처리 기술을 포함한다. 바람직한 구현예에서, 본원에 개시된 바와 같은 주기적 증착 공정은 원자층 증착 공정을 지칭한다.
용어 "원자층 증착"은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클, 전형적으로 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 본원에서 사용된 용어 원자층 증착은, 전구체(들)/반응 가스(들), 및 퍼지(예, 불활성 캐리어) 가스(들)의 교번 펄스로 수행되는 경우, 화학 기상 원자층 증착, 원자층 에피택시(ALE), 분자 빔 에피택시(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다.
일반적으로, ALD 공정의 경우, 각각의 사이클 중에 전구체는 반응 챔버에 도입되고 증착 표면(예, 이전 ALD 사이클로부터 이전에 증착된 재료 또는 다른 재료를 포함할 수 있는 기판 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응인) 단층 또는 서브 단층 재료 또는 몇몇 단층 재료, 또는 복수의 단층 재료를 형성한다. 그 후, 일부 경우에서, 반응물(예, 다른 전구체 또는 반응 가스)을 후속해서 공정 챔버에 도입시켜 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 데 사용한다. 반응물은 전구체와 더 반응할 수 있다. 하나 이상의 사이클 동안, 예를 들어 각 사이클의 각 단계 중에 퍼지 단계를 사용하여, 공정 챔버로부터 과잉의 전구체를 제거하고/제거하거나, 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거할 수 있다. 본원에서 사용되는 바와 같이, ALD 공정은 일련의 자기 제한 표면 반응으로 반드시 구성되는 것은 아님을 유의한다.
또한, 본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 지시된 변수의 임의의 값은 ("약"으로 표시되는지의 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "포함한", "의해 구성되는", 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭한다. 본 개시에서, 임의로 정의된 의미는 일부 구현예에서 보통이고 관습적인 의미를 반드시 배제하는 것은 아니다.
본 개시에서, 다음 약어가 사용된다: RE-M은 희토류 금속을, Cp는 시클로펜타디에닐을, MeCp는 메틸시클로펜타디에닐을, EtCp는 에틸시클로펜타디에닐을, iPrCp는 이소프로필시클로펜타디에닐을, nPrCp는 n-프로필 시클로펜타디에닐을, tBuCp는 터트-부틸 시클로펜타디에닐을, TMSC는 트리메틸실릴시클로펜타디에닐을 나타낸다. Me는 메틸을 나타내고; Et는 에틸을 나타내고; iPr은 이소프로필을 나타내고; nPr는 n-프로필을 나타내고; nBu는 n-부틸을 나타내고; acac는 아세틸아세토네이트를 나타내고; hfac은 헥사플루오로아세틸아세토네이트를 나타내고; NR, R' R"-amd" 또는 NR R"-amd는 R이 R'와 같을 경우에 아미디네이트 리간드 [R―N―C(R")〓N―R']을 지칭하며, 여기서 R, R' 및 R"는 C1-C5 하이드로카르빌이고, 예를 들어 C1-C5 하이드로카르빌이고; R2-fmd"는 아미디네이트 리간드를 나타내고, 여기서 R은 R'과 동일하고 R"는 H와 동일하고; R2-amd"는 아미디네이트 리간드를 나타내고, 여기서 R은 R'과 동일하고 R"는 CH3과 동일하고; thd는 2,2,6,6-테트라메틸헵탄-3,5-디오네이트를 나타내고; phen은 페난트롤린을 나타낸다.
현재 설명된 방법 및 소자는 전계 효과 트랜지스터의 임계 전압을 제어하는 데 유용하다. 일부 구현예에서, 본 방법 및 소자는 n-채널 전계 효과 트랜지스터, 예컨대 n-채널 금속-산화물-반도체 전계 효과 트랜지스터, 예컨대 n-채널 게이트-올-어라운드 금속-산화물-반도체 전계 효과 트랜지스터의 임계 전압을 제어하는 데 특히 유용하다. 일부 구현예에서, 본 방법 및 소자는 p-채널 전계 효과 트랜지스터, 예컨대 p-채널 금속-산화물-반도체 전계 효과 트랜지스터, 예컨대 p-채널 게이트-올-어라운드 금속-산화물-반도체 전계 효과 트랜지스터의 임계 전압을 제어하는 데 특히 유용하다. 일부 구현예에서, 본 방법 및 소자는 금속-산화물-반도체 전계 효과 트랜지스터(MOSFET)에 대한 음의 플랫밴드 전압 시프트를 유도하는 데 특히 유용하다. 따라서, 본 방법 및 소자는 n-MOSFET의 소스와 드레인 사이에서 전도성 채널이 생성되는 게이트 전압을 감소시키는 데 특히 유용할 수 있다. n-MOSFET은, 예를 들어 CMOS 기반 집적 회로에 포함될 수 있다. 추가적으로 또는 대안적으로, 본 방법 및 소자는 p-MOSFET의 소스와 드레인 사이에서 전도성 채널이 생성되는 게이트 전압을 증가시키는 데 특히 유용할 수 있다. p-MOSFET은, 예를 들어 CMOS 기반 집적 회로에 포함될 수 있다. 즉, 본 방법 및 소자는, n-MOSFET가 오프 상태로부터 온 상태로 스위칭하는 전압을 감소시키는 데 특히 유용할 수 있고, p-MOSFET이 오프 상태로부터 온 상태로 스위칭하는 전압을 증가시키는 데 유용할 수 있다. 유사하게, 본 방법 및 소자는 n-MOSFETS의 플랫밴드 전압을 감소시키고 p-MOSFETS의 플랫밴드 전압을 증가시키는 데 특히 유용할 수 있다. 본 방법 및 소자는 게이트-올-어라운드 아키텍처를 갖는 n-MOSFETS 및 p-MOSFETS의 제조에 특히 유용할 수 있다. 추가적으로 또는 대안적으로, 본 방법 및 소자는 시스템-온-칩의 맥락에서 특히 사용될 수 있다.
일부 구현예에서, 본 방법 및 소자는 금속-산화물-반도체 전계 효과 트랜지스터(MOSFET)에 대한 양의 플랫밴드 전압 시프트를 유도하는 데 특히 유용하다. 따라서, 본 방법 및 소자는 n-MOSFET의 소스와 드레인 사이에서 전도성 채널이 생성되는 게이트 전압을 증가시키는 데 특히 유용하다. n-MOSFET은, 예를 들어 CMOS 기반 집적 회로에 포함될 수 있다. 추가적으로 또는 대안적으로, 본 방법 및 소자는 p-MOSFET의 소스와 드레인 사이에서 전도성 채널이 생성되는 게이트 전압을 감소시키는 데 특히 유용할 수 있다. p-MOSFET은, 예를 들어 CMOS 기반 집적 회로에 포함될 수 있다. 즉, 본 방법 및 소자는, n-MOSFET가 오프 상태로부터 온 상태로 스위칭하는 전압을 증가시키는 데 특히 유용할 수 있고, p-MOSFET이 오프 상태로부터 온 상태로 스위칭하는 전압을 감소시키는 데 유용할 수 있다. 유사하게, 본 방법 및 소자는 n-MOSFETS의 플랫밴드 전압을 증가시키고 p-MOSFETS의 플랫밴드 전압을 감소시키는 데 특히 유용할 수 있다. 현재 설명된 방법 및 소자는 게이트-올-어라운드 아키텍처를 갖는 n-MOSFETS 및 p-MOSFETS의 제조에 특히 유용할 수 있다. 추가적으로 또는 대안적으로, 본 방법 및 소자는 시스템-온-칩의 맥락에서 특히 사용될 수 있다.
금속 질화물 또는 탄화물이 화학식에 의해 약칭되는 경우, 화학식은, 예를 들어 문제의 금속의 산화 상태에 따라, 주어진 화학량론에서, 또는 비-화학량론 형태를 포함하는 임의의 다른 화학량론에서 금속 질화물 또는 탄화물을 나타낼 수 있음을 이해할 것이다. 예를 들어, 티타늄 질화물이 "TiN"으로 약칭되는 경우, 용어 TiN은 티타늄 및 질소가 1:1 비율로, 또는 임의의 다른 적절한 비율, 예컨대 0.8:1, 0.9:1, 0.95:1, 1:1.05, 1:1.1, 1.2, 1.5 등으로 존재하는, 티타늄 및 질소 함유 재료를 의미할 수 있다.
기판 상에 희토류 금속 탄화물 함유 층을 증착하는 방법이 본원에 설명된다. 상기 방법은, 기판을 반응 챔버에 제공하는 단계를 포함한다. 적합한 기판은 단결정질 실리콘 웨이퍼, 예를 들어 p형 단결정질 실리콘 웨이퍼를 포함한다. 기판은 표면 층을 포함한다. 상기 방법은 원자층 증착 공정 또는 주기적 화학 기상 증착 공정과 같은 주기적 증착 공정에 의해 표면 층 상에 희토류 금속 탄화물 함유 층을 증착하는 단계를 추가로 포함한다. 일부 구현예에서, 주기적 증착 공정은 하나 이상의 사이클을 포함한다. 사이클은 두 개 이상의 펄스를 포함한다. 일부 구현예에서, 적어도 하나의 펄스는 자기-제한 표면 반응을 포함한다. 일부 구현예에서, 모든 펄스는 자기-제한 표면 반응을 포함한다. 사이클은 전구체 펄스 및 반응물 펄스를 포함한다. 전구체 펄스에서, 희토류 금속 전구체가 반응 챔버에 제공되고, 반응물 펄스에서, 탄소 반응물이 반응 챔버에 제공된다. 따라서, 희토류 금속 탄화물 함유 층이 기판 상에 형성된다.
일부 구현예에서, 기판 상에 희토류 금속 탄화물 함유 층을 증착하기 위해 현재 설명된 방법은 CMOS, 예를 들어 n-MOS 또는 p-MOS, 트랜지스터용 금속 게이트 전극을 형성하기 위해 사용될 수 있다. 따라서, 기판 상에 전극을 형성하기 위한 방법이 본원에서 추가로 설명된다. 상기 방법은, 기판을 반응 챔버에 제공하는 단계를 포함한다. 기판은 게이트 유전체를 포함한다. 적절한 게이트 유전체는 고 유전율 유전체, 예컨대 하프늄 산화물, 지르코늄 산화물, 알루미늄 산화물, 및 이들의 혼합물을 포함한다. 상기 방법은, 상기 게이트 유전체 상에 제1 전도성 층을 증착하는 단계를 추가로 포함한다. 상기 방법은, 희토류 금속 탄화물 함유 층을 상기 제1 전도성 층 상에 증착하기 위해 주기적 증착 공정을 이용하는 단계를 추가로 포함한다. 주기적 증착 공정은 하나 이상의 사이클을 포함한다. 사이클은 희토류 금속 전구체를 전구체 펄스로 반응 챔버에 제공하는 단계를 포함한다. 사이클은 탄소 반응물을 반응물 펄스로 반응 챔버에 제공하는 단계를 추가로 포함한다. 상기 방법은 그 다음 희토류 금속 탄화물 층 상에 제2 전도성 층을 증착하는 단계를 추가로 포함한다. 따라서, 제1 전도성 층, 희토류 금속 탄화물 함유 층, 및 제2 전도성 층을 포함하는 전극이 기판 상에 형성된다.
일부 구현예에서, 전구체 펄스는 반응물 펄스에 선행한다. 이는 그럼에도 불구하고, 그리고 다른 구현예에서, 반응물 펄스는 전구체 펄스에 선행할 수 있다.
일부 구현예에서, 주기적 증착 공정은 제1 수소 펄스를 추가로 포함한다. 제1 수소 펄스는 제1 수소 함유 가스를 반응 챔버에 제공하는 단계를 포함한다. 적절하게는, 제1 수소 함유 가스는 H2를 포함할 수 있다.
일부 구현예에서, 제1 수소 펄스는, 전구체 펄스 이후 및 반응물 펄스 이전에 발생한다. 유리하게는, 이렇게 함으로써 희토류 금속 탄화물 함유 층의 희토류 금속 및 탄소 함량을 제어할 수 있다. 즉, 제1 수소 펄스는 유리하게는 희토류 금속 탄화물 함유 층의 화학량론을 제어할 수 있게 한다. 현재 개시된 주제가 임의의 특정 이론 또는 작동 방식에 구속되지 않는다면, 제1 수소 펄스는 희토류 금속 전구체 내의 리간드와 희토류 금속 사이의 화학적 결합의 약화 및/또는 파괴를 초래할 수 있는 것으로 여겨진다. 추가적으로, 대안적으로, 제1 수소 펄스는, 희토류 금속 전구체에 포함된 탄소 및 수소 함유 리간드를, 기판 표면 및 반응 챔버로부터 쉽게 제거되는 CH4와 같은 휘발성 부산물로 변환할 수 있는 것으로 여겨진다. 따라서, 제1 수소 펄스는 유리하게는 잔류 탄소의 제거를 허용한다.
일부 구현예에서, 주기적 증착 공정은 제2 수소 펄스를 추가로 포함한다. 제2 수소 펄스는 H2와 같은 제2 수소 함유 가스를 반응 챔버에 제공하는 단계를 포함한다. 제2 수소 펄스는 반응물 펄스 후에 발생할 수 있음을 이해할 것이다. 즉, 주기적 공정이 진행 중인 동안에, 제2 수소 펄스는 반응물 펄스 이후, 및 전구체 펄스 이전에 적절히 발생할 수 있다.
일부 구현예에서, 주기적 증착 공정은 제1 수소 펄스 및 제2 수소 펄스를 포함한다. 이러한 구현예에서, 제1 수소 펄스는 전구체 펄스 이후 및 반응물 펄스 이전에 발생하고, 제2 수소 펄스는 반응물 펄스 이후에 발생한다.
일부 구현예에서, 주기적 증착 공정은 추가 전구체 펄스를 포함한다. 추가 전구체 펄스는 반응 챔버에 추가 전구체를 제공하는 단계를 포함한다. 유리하게는, 추가 전구체 및 희토류 금속 전구체는 상이하다. 따라서, 추가 요소를 더 포함한 희토류 탄화물 함유 층이 증착될 수 있다. 일부 구현예에서, 추가 전구체는 희토류 금속 및 전이금속 중 적어도 하나를 포함한다. 예시적인 추가의 전구체는 전이금속 전구체 및 희토류 금속 전구체를 포함한다. 예를 들어, 적합한 전이금속 전구체는 철 전구체, 티타늄 전구체, 및 바나듐 전구체를 포함한다. 적합한 희토류 금속 전구체는 란타늄 전구체, 이트륨 전구체, 에르븀 전구체, 사마륨 전구체, 유로피움 전구체, 이터븀 전구체, 가돌리늄 전구체, 네오디뮴 전구체 및 세륨 전구체를 포함한다. 일부 구현예에서, 추가 전구체는 치환 또는 미치환된 시클로펜타디에닐 리간드를 포함한다. 일부 구현예에서, 추가 전구체는 C1 내지 C4 알킬 치환된 시클로펜타디에닐 리간드를 포함한다. 일부 구현예에서, 알킬 치환된 시클로펜타디에닐 리간드는 EtCp, MeCp, iPrCp, nPrCp, Cp, 및 tBuCp로부터 선택된다. 일부 구현예에서, 추가 전구체는 알루미늄 전구체를 포함한다.
일부 구현예에서, 추가 전구체 펄스 다음에 제3 수소 펄스가 이어지고, 제3 수소 펄스는 제3 수소 함유 가스를 반응 챔버에 제공하는 단계를 포함한다. 일부 구현예에서, 제3 수소 함유 가스는 H2를 포함한다.
임의의 두 단계 및/또는 펄스가 퍼지에 의해 분리될 수 있음을 이해해야 한다. 따라서, 일부 구현예에서, 제1 전도성 층을 증착하는 단계 및 희토류 금속 탄화물 함유 층을 증착하는 단계는, 퍼지에 의해 분리된다. 일부 구현예에서, 희토류 금속 탄화물 함유 층을 증착하는 단계 및 제2 전도성 층을 증착하는 단계는, 퍼지에 의해 분리된다. 일부 구현예에서, 전구체 펄스 및 반응물 펄스는 퍼지에 의해 분리된다. 일부 구현예에서, 반응물 펄스 및 추가 전구체 펄스는 퍼지에 의해 분리된다. 일부 구현예에서, 반응물 펄스 및 제2 수소 펄스는 퍼지에 의해 분리된다. 일부 구현예에서, 제2 수소 펄스 및 추가 전구체 펄스는 퍼지에 의해 분리된다. 일부 구현예에서, 추가 전구체 펄스 및 제3 수소 펄스는 퍼지에 의해 분리된다. 일부 구현예에서, 후속 사이클은 퍼지에 의해 분리된다.
희토류 금속 탄화물 함유 층은 임의의 희토류 금속 탄화물을 포함할 수 있다. 일부 구현예에서, 희토류 금속 탄화물 함유 층은 희토류 금속 탄화물로 구성된다. 일부 구현예에서, 희토류 금속 탄화물 함유 층은 란타늄 탄화물, 이트륨 탄화물, 에르븀 탄화물, 사마륨 탄화물, 유로피움 탄화물, 이터븀 탄화물, 가돌리늄 탄화물, 네오디뮴 탄화물, 및 세륨 탄화물로부터 선택된 희토류 금속 탄화물을 포함하고, 희토류 금속 전구체는 란타늄 전구체, 이트륨 전구체, 에르븀 전구체, 사마륨 전구체, 유로피움 전구체, 이터븀 전구체, 및 세륨 전구체로부터 선택된다.
희토류 금속 탄화물 함유 층은 임의의 적합한 산화 상태에서, 예를 들어 산화 상태 +2에서, 산화 상태 +3에서, 또는 산화 상태 +4에서의 희토류 금속을 포함할 수 있다. 일부 구현예에서, 희토류 금속 전구체는 산화 상태 +3에서 희토류 금속을 포함한다.
일부 구현예에서, 희토류 금속 전구체는 치환 또는 미치환된 시클로펜타디에닐 리간드를 포함한다. 일부 구현예에서, 희토류 금속 전구체는 C1 내지 C4 알킬 치환된 시클로펜타디에닐 리간드를 포함한다.
일부 구현예에서, 알킬 치환된 시클로펜타디에닐 리간드는 EtCp, MeCp, iPrCp, nBuCp, 및 tBuCp로부터 선택된다. 희토류 금속 전구체에 함유된 희토류 금속이 +3의 산화 상태를 갖는 경우에, 일부 구현예에서, 희토류 금속 전구체는 RE-M(Cp)3, RE-M(EtCp)3, RE-M(MeCp)3, RE-M(iPrCp)3, RE-M(nPrCp)3, RE-M(nBuCp)3, 및 RE-M(tBuCp)3로부터 선택된 화합물을 포함한다. 희토류 금속 전구체에 함유된 희토류 금속이 +4의 산화 상태를 갖는 경우에, 일부 구현예에서, 희토류 금속 전구체는 RE-M(Cp)4, RE-M(EtCp)4, RE-M(MeCp)4, RE-M(iPrCp)4, RE-M(nPrCp)4, RE-M(nBuCp)4, 및 RE-M(tBuCp)4로부터 선택된 화합물을 포함한다.
일부 구현예에서, 희토류 금속 전구체는 알킬실릴 치환된 시클로펜타디에닐 리간드를 포함한다. 일부 구현예에서, 알킬실릴 치환된 시클로펜타디에닐 리간드는, 트리메틸실릴 시클로펜타디에닐, 트리에틸실릴 시클로펜타디에닐, 및 트리이소프로필실릴 시클로펜타디에닐로부터 선택된다. 희토류 금속 전구체에 함유된 희토류 금속이 +3의 산화 상태를 갖는 경우에, 일부 구현예에서, 희토류 금속 전구체는 RE-M(TMSCp)3을 포함한다.
일부 구현예에서, 희토류 금속 전구체는 희토류 금속 디케토네이트를 포함한다. 일부 구현예에서, 토금속 전구체는 다음으로 이루어진 목록으로부터 선택된다: RE-M(acac)4, RE-M(hfac)3, RE-M(hfac)4, RE-M(thd)3, RE-M(thd)4, 및 RE-M(thd)3phen.
일부 구현예에서, 희토류 금속 전구체는 아미디네이트 리간드를 포함한다. 일부 구현예에서, 희토류 금속 전구체는 포름아미디네이트(fmd) 리간드를 포함한다. 일부 구현예에서, 희토류 금속 전구체는 아세트아미디네이트(amd) 리간드를 포함한다. 일부 구현예에서, 희토류 금속 전구체는 R2-amd 및 R2-fmd로부터 선택된 아미디네이트 리간드를 포함하되, R은 선형 또는 분지형 C1 내지 C4 알킬이다. 일부 구현예에서, 희토류 금속 전구체는 RE-M(R1 2-amd)3, RE-M(R1 2-fmd)3, RE-M(R2 2Cp)2(R1 2-amd), 및 RE-M(R2 2Cp)2(R1 2-fmd), RE-M(R1 2-amd)4, RE-M(R1 2-fmd)4, RE-M(R2 2Cp)3(R1 2-amd), RE-M(R2 2Cp)2(R1 2-amd)2, RE-M(R2 2Cp)(R1 2-amd)3, RE-M(R2 2Cp)3(R1 2-fmd), RE-M(R2 2Cp)2(R1 2-fmd)2, 및 RE-M(R2 2Cp)(R1 2-fmd)3 형태의 화합물을 포함하되, R1 및 R2는 선형 또는 분지형 C1 내지 C4 알킬로부터 독립적으로 선택된다. 일부 구현예에서, 희토류 금속 전구체는 RE-M(iPr2-amd)3, RE-M(tBu2-amd)3, 및 RE-M(iPrCp)2(iPr2-amd), RE-M(Cp)2(iPr2-amd), RE-M(MeCp)2(iPr2-amd), RE-M(EtCp)2(iPr2-amd), RE-M(nPrCp)2(iPr2-amd), RE-M(tBuCp)2(iPr2-amd), RE-M(iPr2-amd)4, RE-M(tBu2-amd)4, RE-M(iPrCp)3(iPr2-amd), RE-M(iPrCp)2(iPr2-amd)2, RE-M(iPrCp) (iPr2-amd)3, RE-M(Cp)3(iPr2-amd), RE-M(Cp)2(iPr2-amd)2, RE-M(Cp) (iPr2-amd)3, RE-M(MeCp)3(iPr2-amd), RE-M(MECp)2(iPr2-amd)2, RE-M(MeCp) (iPr2-amd)3, RE-M(EtCp)3(iPr2-amd), RE-M(EtCp)2(iPr2-amd)2, RE-M(EtCp) (iPr2-amd)3, RE-M(nPrCp)3(iPr2-amd), RE-M(nPrCp)2(iPr2-amd)2, RE-M(nPrCp) (iPr2-amd)3, RE-M(tBuCp)3(iPr2-amd), RE-M(tBuCp)2(iPr2-amd)2, RE-M(tBuCp) (iPr2-amd)3 중 적어도 하나를 포함한다. 일부 구현예에서, 희토류 금속 전구체는 RE-M(iPr2-fmd)3, RE-M(tBu2-fmd)3, 및 RE-M(iPrCp)2(iPr2-fmd), RE-M(Cp)2(iPr2-fmd), RE-M(MeCp)2(iPr2-fmd), RE-M(EtCp)2(iPr2-fmd), RE-M(nPrCp)2(iPr2-fmd), RE-M(tBuCp)2(iPr2-fmd), RE-M(iPr2-fmd)4, RE-M(tBu2-fmd)4, RE-M(iPrCp)3(iPr2-fmd), RE-M(iPrCp)2(iPr2-fmd)2, RE-M(iPrCp) (iPr2-fmd)3, RE-M(Cp)3(iPr2-fmd), RE-M(Cp)2(iPr2-fmd)2, RE-M(Cp)(iPr2-fmd)3, RE-M(MeCp)3(iPr2-fmd), RE-M(MECp)2(iPr2-fmd)2, RE-M(MeCp)(iPr2-fmd)3, RE-M(EtCp)3(iPr2-fmd), RE-M(EtCp)2(iPr2-fmd)2, RE-M(EtCp) (iPr2-fmd)3, RE-M(nPrCp)3(iPr2-fmd), RE-M(nPrCp)2(iPr2-fmd)2, RE-M(nPrCp)(iPr2-fmd)3, RE-M(tBuCp)3(iPr2-fmd), RE-M(tBuCp)2(iPr2-fmd)2, 및 RE-M(tBuCp) (iPr2-fmd)3 중 적어도 하나를 포함한다.
일부 구현예에서, 희토류 금속 전구체는 RE-M(OCMe2CH2OMe)4와 같은 희토류 금속 알콕사이드를 포함한다.
일부 구현예에서, 희토류 금속 전구체는 하나 이상의 희토류 금속 알킬실릴아민을 포함한다. 예시적인 토금속 알킬실릴아민은 Ce[N(SiMe3)2]3을 포함한다.
일부 구현예에서, 희토류 금속 탄화물 층은 세륨 탄화물을 포함하고, 희토류 금속 전구체는 세륨 전구체를 포함한다. 본 발명자는 놀랍게도 세륨 탄화물 함유 층이 p-채널 금속-산화물-반도체 전계 효과 트랜지스터의 임계 전압을 증가시키는 데 특히 유리할 수 있음을 발견하였다. 또한, 본 발명자는 놀랍게도 세륨 탄화물 함유 층이 n-채널 금속-산화물-반도체 전계 효과 트랜지스터의 임계 전압을 감소시키는 데 특히 유리할 수 있음을 발견하였다.
예시적인 세륨 전구체는 세륨 디케토네이트, 세륨 아미디네이트, 세륨 시클로펜타디에닐, 세륨 알콕사이드, 및 세륨 알킬실릴아민을 포함한다.
일부 구현예에서, 세륨 전구체는 치환 또는 미치환된 시클로펜타디에닐 리간드를 포함한다. 일부 구현예에서, 세륨 전구체는 C1 내지 C4 알킬 치환된 시클로펜타디에닐 리간드를 포함한다.
일부 구현예에서, 알킬 치환된 시클로펜타디에닐 리간드는 EtCp, MeCp, iPrCp, Cp, nBuCp, 및 tBuCp로부터 선택된다. 일부 구현예에서, 세륨 전구체는 Ce(Cp)3, Ce(EtCp)3, Ce(MeCp)3, Ce(iPrCp)3, Ce(nPrCp)3, Ce(nBuCp)3, 및 Ce(tBuCp)3로부터 선택된 화합물을 포함한다. 일부 구현예에서, 세륨 전구체는 Ce(iPrCp)3을 포함한다. 일부 구현예에서, 세륨 전구체는 Ce(Cp)4, Ce(EtCp)4, Ce(MeCp)4, Ce(iPrCp)4, Ce(nPrCp)4, Ce(nBuCp)4, 및 Ce(tBuCp)4로부터 선택된 화합물을 포함한다. 일부 구현예에서, 세륨 전구체는 Ce(iPrCp)4을 포함한다.
일부 구현예에서, 세륨 전구체는 알킬실릴 치환된 시클로펜타디에닐 리간드를 포함한다. 일부 구현예에서, 알킬실릴 치환된 시클로펜타디에닐 리간드는, 트리메틸실릴 시클로펜타디에닐, 트리에틸실릴 시클로펜타디에닐, 및 트리이소프로필실릴 시클로펜타디에닐로부터 선택된다. 일부 구현예에서, 세륨 전구체는 Ce(TMSCp)3을 포함한다.
일부 구현예에서, 세륨 전구체는 세륨 디케토네이트를 포함한다. 일부 구현예에서, 세륨 전구체는 다음으로 이루어진 목록으로부터 선택된다: Ce(acac)4, Ce(hfac)4, Ce(thd)4, 및 Ce(thd)3phen.
일부 구현예에서, 세륨 전구체는 아미디네이트 리간드를 포함한다. 일부 구현예에서, 세륨 전구체는 포름아미디네이트 리간드를 포함한다. 일부 구현예에서, 세륨 전구체는 아세트아미디네이트 리간드를 포함한다. 일부 구현예에서, 세륨은 R2-amd 및 R2-fmd로부터 선택된 아미디네이트 리간드를 포함하되, R은 선형 또는 분지형 C1 내지 C4 알킬이다. 일부 구현예에서, 세륨 전구체는 목록 Ce(R1 2-amd)3, Ce(R1 2-fmd)3, Ce(R2 2Cp)2(R1 2-amd), Ce(R2 2Cp)2(R1 2-fmd), Ce(R1 2-amd)4, Ce(R1 2-fmd)4, Ce(R2 2Cp)3(R1 2-amd), Ce(R2 2Cp)2(R1 2-amd)2, Ce(R2 2Cp)(R1 2-amd)3, Ce(R2 2Cp)3(R1 2-fmd), Ce(R2 2Cp)2(R1 2-fmd)2, 및 Ce(R2 2Cp)(R1 2-fmd)3으로부터 선택된 화합물을 포함하되, R1 및 R2는 선형 또는 분지형 C1 내지 C4 알킬로부터 독립적으로 선택된다. 일부 구현예에서, 세륨 전구체는 Ce(iPr2-amd)3, Ce(tBu2-amd)3, 및 Ce(iPrCp)2(iPr2-amd), Ce(Cp)2(iPr2-amd), Ce(MeCp)2(iPr2-amd), Ce(EtCp)2(iPr2-amd), Ce(nPrCp)2(iPr2-amd), Ce(tBuCp)2(iPr2-amd), Ce(iPr2-amd)4, Ce(tBu2-amd)4, Ce(iPrCp)3(iPr2-amd), Ce(iPrCp)2(iPr2-amd)2, Ce(iPrCp) (iPr2-amd)3, Ce(Cp)3(iPr2-amd), Ce(Cp)2(iPr2-amd)2, Ce(Cp)(iPr2-amd)3, Ce(MeCp)3(iPr2-amd), Ce(MECp)2(iPr2-amd)2, Ce(MeCp) (iPr2-amd)3, Ce(EtCp)3(iPr2-amd), Ce(EtCp)2(iPr2-amd)2, Ce(EtCp) (iPr2-amd)3, Ce(nPrCp)3(iPr2-amd), Ce(nPrCp)2(iPr2-amd)2, Ce(nPrCp) (iPr2-amd)3, Ce(tBuCp)3(iPr2-amd), Ce(tBuCp)2(iPr2-amd)2, 및 Ce(tBuCp) (iPr2-amd)3 중 적어도 하나를 포함한다. 일부 구현예에서, 세륨 전구체는 Ce(iPr2-fmd)3, Ce(tBu2-fmd)3, 및 Ce(iPrCp)2(iPr2-fmd), Ce(Cp)2(iPr2-fmd), Ce(MeCp)2(iPr2-fmd), Ce(EtCp)2(iPr2-fmd), Ce(nPrCp)2(iPr2-fmd), Ce(tBuCp)2(iPr2-fmd), Ce(iPr2-fmd)4, Ce(tBu2-fmd)4, Ce(iPrCp)3(iPr2-fmd), Ce(iPrCp)2(iPr2-fmd)2, Ce(iPrCp) (iPr2-fmd)3, Ce(Cp)3(iPr2-fmd), Ce(Cp)2(iPr2-fmd)2, Ce(Cp)(iPr2-fmd)3, Ce(MeCp)3(iPr2-fmd), Ce(MECp)2(iPr2-fmd)2, Ce(MeCp)(iPr2-fmd)3, Ce(EtCp)3(iPr2-fmd), Ce(EtCp)2(iPr2-fmd)2, Ce(EtCp)(iPr2-fmd)3, Ce(nPrCp)3(iPr2-fmd), Ce(nPrCp)2(iPr2-fmd)2, Ce(nPrCp)(iPr2-fmd)3, Ce(tBuCp)3(iPr2-fmd), Ce(tBuCp)2(iPr2-fmd)2, 및 Ce(tBuCp) (iPr2-fmd)3 중 적어도 하나를 포함한다.
일부 구현예에서, 세륨 전구체는 시클로펜타디에닐 리간드를 포함한다. 즉, 일부 구현예에서, 세륨 전구체는 세륨 시클로펜타디에닐을 포함한다. 일부 구현예에서, 세륨 전구체는 Ce(Cp)3, Ce(EtCp)3, Ce(MeCp)3, Ce(nPrCp)3, Ce(tBuCp)3, Ce(nBuCp)3, 및 Ce(iPrCp)3로 이루어진 목록으로부터 선택된 화합물을 포함한다. 일부 구현예에서, 세륨 전구체는 Ce(Cp)4, Ce(EtCp)4, Ce(MeCp)4, Ce(nPrCp)4, Ce(tBuCp)4, Ce(nBuCp)4, 및 Ce(iPrCp)4로 이루어진 목록으로부터 선택된 화합물을 포함한다.
일부 구현예에서, 세륨 전구체는 Ce(OCMe2CH2OMe)4와 같은 세륨 알콕사이드를 포함한다.
일부 구현예에서, 세륨 전구체는 하나 이상의 세륨 알킬실라민을 포함한다. 예시적인 세륨 알킬실릴아민은 Ce[N(SiMe3)2]3을 포함한다.
일부 구현예에서, 희토류 금속 탄화물 층은 이트륨 탄화물을 포함하고, 희토류 금속 전구체는 이트륨 전구체를 포함한다. 일부 구현예에서, 이트륨 전구체는 시클로펜타디에닐 리간드 및/또는 알킬 치환된 시클로펜타디에닐 리간드, 예컨대 EtCp를 포함한다. 일부 구현예에서, 이트륨 전구체는 아미디네이트 리간드, 예를 들어 iPr-amd와 같은 알킬아미디네이트 리간드를 포함한다. 예시적인 이트륨 전구체는 Y(EtCp)2(iPr-amd)를 포함한다.
일부 구현예에서, 희토류 금속 탄화물 층은 란타늄 탄화물을 포함하고, 희토류 금속 전구체는 란타늄 전구체를 포함한다. 일부 구현예에서, 희토류 금속 탄화물 층은 란타늄을 포함하고, 전구체는 란타늄 전구체를 포함한다.
일부 구현예에서, 란타늄 전구체는 하나 이상의 시클로펜타디에닐 리간드 또는 이의 알킬 치환된 변이체를 포함한다.
일부 구현예에서, 란타늄 전구체는 란타늄 아미디네이트를 포함한다.
일부 구현예에서, 란타늄 전구체는 La(iPrCp)3, La(Cp)3, La(EtCp)3, La(MeCp)3, La(nPrCp)3, La(tBuCp)3, 및 La(nBuCp)3으로 이루어진 목록으로부터 선택된다.
일부 구현예에서, 란타늄 전구체는 하나 이상의 치환 또는 미치환된 시클로펜타디에닐 리간드를 포함한다. 추가적으로 또는 대안적으로, 란타늄 전구체는 알킬실릴아민, 디아자디엔, 및 아미디네이트로부터 선택된 하나 이상의 리간드를 포함한다.
일부 구현예에서, 탄소 반응물은 할로겐화 C1 내지 C6 알칸 또는 알켄을 포함한다. 따라서, 일부 구현예에서, 탄소 반응물은 탄소, 수소, 및 할로겐을 포함한다. 적합한 할로겐은 F, Cl, Br, 및 I를 포함한다. 일부 구현예에서, 탄소 반응물은 요오드를 포함한다. 적합한 요오드 함유 탄소 반응물은 C2H5I, C2H4I2, CH2I2, CHI3, CH3I, 및 CI4를 포함한다. 14. 일부 구현예에서, 탄소 반응물은 C2H4I2를 포함한다.
일부 구현예에서, 제1 전도성 층은 제1 전이금속 질화물을 포함한다. 제1 전이금속 질화물로서 사용하기 위한 적합한 전이금속 질화물은 TiN, ZrN, HfN, VN, Mo, NbN, TaN, ScN, CrN, MoN, 및 WN을 포함한다.
일부 구현예에서, 제2 전도성 층은 제1 전이금속 질화물과 상이한 제2 전이금속 질화물을 포함한다. 제2 전이금속 질화물로서 사용하기 위한 적합한 전이금속 질화물은 TiN, ZrN, HfN, VN, Mo, NbN, TaN, ScN, CrN, MoN, 및 WN을 포함한다.
일부 구현예에서, 제1 전도성 층은 제1 전이금속 탄화물을 포함한다. 제1 전이금속 탄화물로서 사용하기에 적합한 전이금속 탄화물은 TiC, VC, HfC, TaC, ZrC, ScC, NbC를 포함한다.
일부 구현예에서, 제2 전도성 층은 제1 전이금속 탄화물과 상이한 제2 전이금속 탄화물을 추가로 포함한다. 제2 전이금속 탄화물로서 사용하기에 적합한 전이금속 탄화물은 TiC, VC, HfC, TaC, ZrC, ScC, 및 NbC를 포함한다.
일부 구현예에서, 제2 전도성 층은 알루미늄 탄화물을 포함한다. 일부 구현예에서, 제2 전도성 층은 티타늄 탄화물 및 알루미늄 탄화물을 포함한다.
일부 구현예에서, 제1 전도성 층과 제2 전도성 층 중 적어도 하나는 실리콘 질화물을 포함한다. 일부 구현예에서, 제1 전도성 층은 실리콘 질화물을 포함한다. 일부 구현예에서, 제2 전도성 층은 실리콘 질화물을 포함한다.
일부 구현예에서, 제1 전도성 층과 제2 전도성 층 중 적어도 하나는 금속을 포함한다. 일부 구현예에서, 제1 전도성 층은 금속을 포함한다. 일부 구현예에서, 제2 전도성 층은 금속을 포함한다. 적합한 금속은 Cu, Co, Al, V, Cr, Y, Re, Ru, Mo, W, 및 Ti를 포함한다.
희토류 금속 탄화물 함유 층이 제1 전도성 층 상에 증착되는 경우, 및/또는 제2 전도성 층이 희토류 금속 탄화물 함유 층 상에 증착되는 경우에, 이들 층의 구성 성분의 상호 혼합이 어느 정도 발생할 수 있음을 이해할 것이다. 예를 들어, 세륨 탄화물 함유 층이 티타늄 질화물 층 상에 증착되는 경우에, 티타늄 및 질소 중 적어도 하나는, 예를 들어 확산, 표면 분리, 또는 다른 공정에 의해 세륨 탄화물 함유 층 내에 혼입될 수 있다. 일부 구현예에서, 이러한 상호 혼합은, 전도성 층 및 희토류 금속 탄화물 함유 층의 성분 둘 다를 함유한 중간층의 형성을 초래할 수 있다. 예를 들어, 이러한 중간층은, 티타늄 질화물 층 상에 세륨 탄화물 함유 층이 증착되는 경우에, 세륨 탄질화물을 포함할 수 있다. 일부 구현예에서, 상호 혼합은 희토류 금속 탄화물 함유 층의 합금화 또는 도핑을 초래할 수 있다. 예를 들어, 희토류 금속 탄화물 함유 층이 투과 금속 질화물 상에 증착되는 경우에, 희토류 금속 탄화물 함유 층은 질소로 도핑될 수 있다.
다음의 구현예는, 해당 구현예가 문제의 방법을 작동 불가능하게 만들지 않는 한, 이러한 방법에 사용되는 전구체 및/또는 반응물과 관계없이, 본원에 개시된 방법 중 어느 하나에 적용될 수 있음을 이해할 것이다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 0.1 nm/사이클 이하의 성장 속도로 성장한다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 적어도 100°C 내지 최대 500°C의 온도, 또는 적어도 200°C 내지 최대 450°C의 온도, 또는 적어도 300°C 내지 최대 400°C의 온도, 또는 적어도 350°C 내지 최대 450°C의 온도에서 증착된다.
일부 구현예에서, 전구체는 적어도 25°C 내지 최대 200°C의 온도, 또는 적어도 50°C 내지 최대 150°C의 온도, 또는 적어도 75°C 내지 최대 125°C의 온도에서 유지되는 전구체 공급원으로부터 반응 챔버에 제공된다.
일부 구현예에서, 반응물은 적어도 25°C 내지 최대 200°C의 온도, 또는 적어도 50°C 내지 최대 150°C의 온도, 또는 적어도 75°C 내지 최대 125°C의 온도에서 유지되는 반응물 공급원으로부터 반응 챔버에 제공된다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 적어도 0.01 토르 내지 최대 100 토르, 또는 적어도 0.1 토르 내지 최대 50 토르의 압력, 또는 적어도 0.5 토르 내지 최대 25 토르의 압력, 또는 적어도 1 토르 내지 최대 10 토르의 압력, 또는 적어도 2 토르 내지 최대 5 토르의 압력으로 증착된다.
일부 구현예에서, 희토류 금속 탄화물 함유 층은 적어도 0.3 nm 내지 최대 5.0 nm의 두께를 갖는다.
희토류 금속 탄화물 함유 층은 임의의 적절한 반응기에 증착될 수 있다. 따라서, 일부 구현예에서, 희토류 금속 탄화물 함유 층은 교차 유동 반응기 내에 증착된다. 일부 구현예에서, 희토류 금속 탄화물 함유 층은 샤워헤드 반응기 내에 증착된다. 일부 구현예에서, 희토류 금속 탄화물 함유 층은 핫-월 반응기 내에 증착된다. 이렇게 함으로써, 희토류 금속 탄화물 함유 층 증착 공정의 균일성 및/또는 반복성을 유리하게 향상시킬 수 있다.
일부 구현예에서, 기판은 주기적 증착 공정 이후에 수소 및 질소를 포함한 분위기에서 어닐링 단계를 거친다. 적절하게는, 어닐링 단계는 적어도 300°C 내지 최대 600°C의 온도에서 수행될 수 있다. 대안적으로, 어닐링 단계는 적어도 300°C 내지 최대 1000°C의 온도에서 수행될 수 있다.
일부 구현예에서, 전구체는, 온도 제어된 전구체 용기로부터 반응 챔버에 제공된다. 일부 구현예에서, 온도 제어식 전구체 용기는 전구체를 냉각시키도록 구성된다. 일부 구현예에서, 온도 제어식 전구체 용기는 전구체를 가열시키도록 구성된다. 일부 구현예에서, 온도 제어된 전구체 용기는, 적어도 -50°C 내지 최대 20°C의 온도, 또는 적어도 20°C 내지 최대 250°C의 온도, 또는 적어도 100°C 내지 최대 200°C의 온도에서 유지된다.
일부 구현예에서, 전구체는 캐리어 가스에 의해 반응 챔버에 제공된다. 예시적인 캐리어 가스는 질소 및 불활성 가스, 예컨대 He, Ne, Ar, Xe, 및 Kr을 포함한다.
일부 구현예에서, 전구체 펄스는 적어도 0.01초 내지 최대 120초, 또는 적어도 0.01초 내지 최대 0.1초, 또는 적어도 0.01초 내지 최대 0.02초, 또는 적어도 0.02초 내지 최대 0.05초, 또는 적어도 0.05초 내지 최대 0.1초, 또는 적어도 0.1초 내지 최대 20초, 또는 적어도 0.1초 내지 최대 0.2초, 또는 적어도 0.2초 내지 최대 0.5초, 또는 적어도 0.5초 내지 최대 1.0초, 또는 적어도 1.0초 내지 최대 2.0초, 또는 적어도 2.0초 내지 최대 5.0초, 또는 적어도 5.0초 내지 최대 10.0초 또는 적어도 10.0초 내지 최대 20.0초 동안 지속된다. 일부 구현예에서, 반응물 펄스는 적어도 0.1초 내지 최대 20초, 또는 적어도 0.1초 내지 최대 0.2초, 또는 적어도 0.2초 내지 최대 0.5초, 또는 적어도 0.5초 내지 최대 1.0초, 또는 적어도 1.0초 내지 최대 2.0초, 또는 적어도 2.0초 내지 최대 5.0초, 또는 적어도 5.0초 내지 최대 10.0초, 또는 적어도 10.0초 내지 최대 20.0초, 또는 적어도 20.0초 내지 최대 120.0초, 또는 적어도 20.0초 내지 최대 50.0초, 또는 적어도 50.0초 내지 최대 80.0초, 또는 적어도 80.0초 내지 최대 120.0초 동안 지속된다.
유리하게는, 본원에 개시된 바와 같은 주기적 증착 공정은 열 증착 공정일 수 있다. 즉, 일부 구현예에서, 주기적 증착 공정에서 펄스 또는 퍼지 중 어느 것도 플라즈마를 사용하지 않는다. 주기적 열 증착 공정의 경우, 전구체를 반응 챔버에 제공하는 단계의 지속 시간, 반응물을 반응 챔버에 제공하는 단계의 지속 시간, 추가 전구체를 반응 챔버에 제공하는 단계의 지속 시간, 및/또는 수소 함유 가스를 반응 챔버에 제공하는 단계의 지속 시간은, 전구체, 반응물, 추가 전구체, 및/또는 수소 함유 가스가 기판의 표면과 반응하는 것을 허용하도록 비교적 길 수 있다. 예를 들어, 지속 시간은 5초 이상이거나 10초 이상이거나 약 5초 내지 10초 사이일 수 있다.
일부 구현예에서, 주기적 증착 공정은 플라즈마 강화 증착 기술을 사용한다. 예를 들어, 주기적 증착 공정은 플라즈마 강화 원자층 증착 공정 및/또는 플라즈마 강화 화학 기상 증착 공정을 포함할 수 있다. 이러한 경우, 주기적 증착 공정에서 펄스 중 어느 하나는 반응 챔버에서 플라즈마를 생성하는 단계를 포함할 수 있다.
다음을 포함하는 시스템이 본원에 추가로 설명된다: 하나 이상의 반응 챔버; 전구체를 포함한 전구체 가스 공급원; 반응물을 포함한 반응물 가스 공급원; 배기 공급원; 및 제어기. 제어기는, 본원에 설명된 바와 같은 방법을 수행하기 위해 하나 이상의 반응 챔버 중 적어도 하나 내로 가스 흐름을 제어하도록 구성된다.
전극이 추가로 본원에 설명된다. 전극은 층 스택을 다음의 순서로 포함한다: 제1 전도성 층, 희토류 금속 탄화물 함유 층, 및 제2 전도성 층. 적절하게는, 희토류 금속 탄화물 함유 층은 란타늄 탄화물, 이트륨 탄화물, 에르븀 탄화물, 가돌리늄 탄화물, 네오디뮴 탄화물, 및 세륨 탄화물로부터 선택된 희토류 금속 탄화물을 포함할 수 있다. 일부 구현예에서, 희토류 금속 탄화물 함유 층은 세륨 탄화물을 포함한다. 적절하게는, 전극은 본원에 설명된 바와 같은 방법에 의해 제조될 수 있다.
일부 구현예에서, 제1 전도성 층은 TiN, MoN, VN, HfN, TaN, ZrN, ScN, 또는 NbN과 같은 제1 전이금속 질화물을 포함한다.
일부 구현예에서, 제2 전도성 층은 TiN, MoN, VN, HfN, TaN, ZrN, ScN, 또는 NbN과 같은 제2 전이금속 질화물을 포함한다. 일부 구현예에서, 제1 층 및 제2 층은 동일한 조성을 갖는다. 일부 구현예에서, 제1 층 및 제2 층은 상이한 조성을 갖는다.
제1 전도성 층, 희토류 금속 탄화물 함유 층, 및 제2 전도성 층은 임의의 적절한 두께를 가질 수 있지만, 전형적으로 이들 층의 두께는 50 nm 미만이다. 일부 구현예에서, 제1 전도성 층은 적어도 0.3 nm 내지 최대 3 nm, 또는 적어도 0.5 nm 내지 최대 2 nm, 또는 적어도 1 nm 내지 최대 2 nm의 두께를 갖는다. 일부 구현예에서, 제2 전도성 층은 적어도 0.3 nm 내지 최대 50 nm, 또는 적어도 0.5 nm 내지 최대 30 nm, 또는 적어도 1 nm 내지 최대 20 nm, 또는 적어도 5 nm 내지 최대 10 nm의 두께를 갖는다. 일부 구현예에서, 희토류 금속 탄화물 함유 층은 적어도 0.3 nm 내지 최대 10 nm, 또는 적어도 1 nm 내지 최대 5 nm의 두께를 갖는다.
본원에 설명된 바와 같은 전극을 포함한 게이트 스택을 포함하는 금속-산화물-반도체 전계 효과 트랜지스터가 본원에 추가로 설명된다. 일부 구현예에서, 금속-산화물-반도체 전계 효과 트랜지스터는 p-채널 금속-산화물-반도체 전계 효과 트랜지스터이다. 다른 구현예에서, 금속-산화물-반도체 전계 효과 트랜지스터는 n-채널 금속-산화물-반도체 전계 효과 트랜지스터이다. 적절하게는, 전극은 게이트-올-어라운드 아키텍처를 갖는 금속-산화물-반도체 전계 효과 트랜지스터의 경우와 같이, 금속-산화물-반도체 전계 효과 트랜지스터의 채널 영역을 완전히 둘러싼다.
이제 도면으로 돌아가면, 도 1은 본 개시의 예시적인 구현예에 따른 방법을 나타낸다. 이 방법은, 예를 들어 NMOS 및/또는 CMOS 장치에 적합한 게이트 전극에 사용하기 위한 희토류 금속 탄화물 함유 층을 증착하기 위해 사용될 수 있다. 본 층은 p- 또는 n-채널 MOSFET에서 임계 전압 제어 층으로서 사용하기에 특히 적합하다. 그러나, 달리 언급되지 않는 한, 방법은 이러한 응용에 제한되지 않는다. 상기 방법은, 기판이 반응 챔버에 제공된 후에 시작(111)되며, 1회 이상 반복(116)될 수 있는 주기적 증착 공정에 의해 기판 상에 희토류 금속 탄화물 함유 층을 증착하는 단계를 포함한다. 반응 챔버는, 주기적 증착 공정을 수행하도록 구성된 화학 기상 증착 반응기 시스템의 반응 챔버일 수 있거나 이를 포함할 수 있다. 추가적으로 또는 대안적으로, 반응 챔버는, 주기적 증착 공정을 수행하도록 구성된 원자층 증착 반응기 시스템의 반응 챔버일 수 있거나 이를 포함할 수 있다. 반응 챔버는 독립형 반응 챔버 또는 클러스터 툴의 일부일 수 있다. 주기적 증착 공정은 희토류 금속 탄화물 함유 층을 전구체 펄스로 반응 챔버에 제공하는 단계(112)를 포함한다. 일부 구현예에서, 상기 방법은 복수의 사이클, 예를 들어 2, 5, 10 또는 20 또는 그 이상의 사이클을 포함한다. 선택적으로, 반응 챔버는 전구체 펄스(112) 이후에 퍼지(113)된다. 탄소 반응물은 반응물 펄스로 반응 챔버에 제공(114)된다. 선택적으로, 반응 챔버는 반응물 펄스 이후에 퍼지(115)될 수 있다. 전구체 펄스(112), 반응물 펄스(114), 및 선택적인 퍼지(113,115)는 원하는 두께를 갖는 희토류 금속 탄화물 함유 층을 얻기 위해 임의의 횟수로 반복(116)될 수 있다. 원하는 두께를 갖는 희토류 금속 탄화물 함유 층이 증착되었을 경우에, 상기 방법은 종료(117)된다. 일단 방법이 종료되면, 기판은, 예를 들어 소자 구조체 및/또는 소자를 형성하기 위한 추가 공정을 거칠 수 있다.
도 2는 본 개시의 예시적 구현예에 따라 다른 하나의 방법을 나타낸다. 도 1의 방법과 마찬가지로, 도 2의 방법은, 예를 들어 금속-산화물-반도체 전계 효과 트랜지스터용 게이트 전극 구조체 내에 희토류 금속 탄화물 함유 층을 형성하는 데 사용될 수 있다. 상기 방법은, 기판이 반응 챔버에 제공된 후에 시작(211)되며, 1회 이상 반복(218)될 수 있는 주기적 증착 공정에 의해 기판 상에 희토류 금속 탄화물 함유 층을 증착하는 단계를 포함한다. 일부 구현예에서, 상기 방법은 복수의 사이클, 예를 들어 2, 5, 10 또는 20 또는 그 이상의 사이클을 포함한다. 반응 챔버는, 주기적 증착 공정을 수행하도록 구성된 화학 기상 증착 반응기 시스템의 반응 챔버일 수 있거나 이를 포함할 수 있다. 추가적으로 또는 대안적으로, 반응 챔버는, 주기적 증착 공정을 수행하도록 구성된 원자층 증착 반응기 시스템의 반응 챔버일 수 있거나 이를 포함할 수 있다. 반응 챔버는 독립형 반응 챔버 또는 클러스터 툴의 일부일 수 있다. 주기적 증착 공정은 희토류 금속 탄화물 함유 층을 전구체 펄스로 반응 챔버에 제공하는 단계(212)를 포함한다. 선택적으로, 제1 수소 함유 가스는 그 다음 제1 수소 펄스로 반응 챔버에 제공(213)된다. 그 다음, 탄소 반응물은 반응물 펄스로 반응 챔버에 제공(214)된다. 선택적으로, 제2 수소 함유 가스는 그 다음 제2 수소 펄스로 반응 챔버에 제공(215)된다. 추가 전구체는 그 다음 추가 전구체 펄스로 반응 챔버에 제공(216)된다. 추가 전구체 펄스(216) 이후에, 제3 수소 함유 가스가 그 다음 제3 수소 펄스로 반응 챔버에 선택적으로 제공(217)된다. 주기적 증착 공정은, 희토류 금속 탄화물 함유 층이 원하는 두께에 도달할 때까지 1회 이상 반복(218)될 수 있으며, 그 후 방법이 종료(219)된다. 일단 방법이 종료되면, 기판은, 예를 들어 소자 구조체 및/또는 소자를 형성하기 위한 추가 공정을 거칠 수 있다.
선택적으로, 전술한 펄스 중 임의의 펄스는 퍼지에 의해 분리될 수 있다. 따라서, 일부 구현예에서, 전구체 펄스(212) 및 제1 수소 펄스(213)는 퍼지에 의해 분리된다. 일부 구현예에서, 전구체 펄스(212) 및 반응물 펄스(214)는 퍼지에 의해 분리된다. 일부 구현예에서, 제1 수소 펄스(213) 및 반응물 펄스(214)는 퍼지에 의해 분리된다. 일부 구현예에서, 반응물 펄스(214) 및 제2 수소 펄스(215)는 퍼지에 의해 분리된다. 일부 구현예에서, 반응물 펄스(214) 및 추가 전구체 펄스(216)는 퍼지에 의해 분리된다. 일부 구현예에서, 제2 수소 펄스(215) 및 추가 전구체 펄스(216)는 퍼지에 의해 분리된다. 일부 구현예에서, 추가 전구체 펄스(216) 및 제3 수소 펄스(217)는 퍼지에 의해 분리된다. 일부 구현예에서, 제3 수소 펄스(217) 다음에 퍼지된다.
도 3은 본 개시의 예시적 구현예에 따라 다른 하나의 방법을 나타낸다. 이 방법은, 예를 들어 금속-산화물-반도체 전계 효과 트랜지스터용 게이트 전극 구조물을 형성하는 데 사용될 수 있다. 도 3의 방법은 반응 챔버에 포함된 기판 지지부 상에 기판을 위치시키는 단계(311)를 포함한다. 상기 방법은 제1 전도성 층을 증착하는 단계(312)를 추가로 포함한다. 선택적으로, 상기 방법은 그 다음 반응 챔버를 퍼지하는 단계(313)를 포함한다. 그 다음, 상기 방법은 제1 전도성 층 상에 희토류 금속 탄화물 함유 층을 증착하는 단계(314)를 포함한다. 선택적으로, 상기 방법은 그 다음 반응 챔버를 퍼지하는 단계(315)를 포함한다. 그 다음, 상기 방법은 제2 전도성 층을 희토류 금속 탄화물 함유층 상에 증착하는 단계(316)를 포함한다. 선택적으로, 상기 방법은 그 다음 반응 챔버를 퍼지하는 단계(317)를 포함한다. 그 다음, 상기 방법은 종료(318)된다. 일단 방법이 종료되면, 기판은, 예를 들어 소자 구조체 및/또는 소자를 형성하기 위한 추가 공정을 거칠 수 있다. 제1 및 제2 전도성 층은 금속 또는 질화물 또는 탄화물과 같은 임의의 전도성 재료, 예를 들어 TiN과 같은 전이금속 질화물, Ti와 같은 전이금속, 또는 TiC와 같은 전이금속 탄화물을 포함할 수 있다. 선택적으로, 도 3에 따른 공정 다음에 열 처리 단계, 예컨대 포밍 가스 어닐링 또는 급속 열 어닐링이 따를 수 있다.
도 3에 따른 방법에서, 희토류 금속 탄화물 함유 층은 본원에 개시된 바와 같은 주기적 증착 공정을 사용하여 증착될 수 있음을 이해할 것이다. 주기적 증착 공정은 주기적 CVD, ALD, 또는 하이브리드 주기적 CVD/ALD 공정을 포함할 수 있다. 바람직하게는, 주기적 증착 공정은, 선택된 전구체-반응물 쌍과 조합되는 경우에, 자기 제한 표면 반응이 일어나게 하는 반응 조건을 사용한다. 예를 들어, 일부 구현예에서, 특정 ALD 공정의 성장 속도는 CVD 공정에 비해 낮을 수 있다.
도 1, 2 또는 3에 따른 방법 중 어느 하나는, 반응 챔버 내에서 원하는 증착 온도로 기판을 가열하는 단계를 포함할 수 있다. 본 개시의 일부 구현예에서, 상기 방법은 500℃ 미만의 온도로 기판을 가열하는 단계를 포함할 수 있다. 예를 들어, 본 개시의 일부 구현예에서, 증착 온도로 기판을 가열하는 단계는 적어도 100℃ 내지 최대 400℃의 온도, 또는 적어도 150℃ 내지 최대 350℃의 온도, 또는 적어도 200℃ 내지 최대 300℃의 온도로 기판을 가열하는 단계를 포함할 수 있다. 기판의 온도를 제어하는 것 이외에, 반응 챔버 내의 압력도 조절될 수 있다. 예를 들어, 본 개시의 일부 구현예에서 주기적 증착 공정 동안에 반응 챔버 내의 압력은 760 토르 미만, 또는 0.2 토르 내지 760 토르, 약 1 토르 내지 100 토르, 약 1 토르 내지 10 토르일 수 있다.
도 1, 2 또는 3에 따른 방법 중 임의의 방법은 하나 이상의 퍼지를 포함할 수 있다. 퍼지 중에, 진공 및/또는 불활성 가스를 사용해 반응 챔버를 퍼지할 수 있어, 전구체와 반응물 사이의 기상 반응을 완화시키고, 예를 들어 ALD의 경우에 자기 포화적 표면 반응을 부분적으로 또는 완전히 가능하게 한다. 추가적으로 또는 대안적으로, 기판은 제1 기상 반응물, 예를 들어 전구체, 및 제2 기상 반응물, 예를 들어 반응물과 별도로 접촉하도록 이동할 수 있다. 추가적으로 또는 대안적으로, 가스 종은 펌프와 같은 가스 제거 장치에 의해 퍼지 중 임의의 하나 동안 반응 챔버로부터 제거될 수 있다. 잉여 화학 물질 및 반응 부산물이 존재하는 경우, 기판이 다음 반응 화학 물질과 접촉하기 전에 이들은, 예컨대 반응 공간을 퍼지하거나 기판을 이동함으로써 기판 표면 또는 반응 챔버로부터 제거될 수 있다.
도 4는 본 개시의 추가적인 예에 따른 장치(400)의 구조체/일부를 나타낸다. 소자 또는 구조체(400)는 기판(410), 유전체 또는 절연 재료, 즉 게이트 유전체(420), 및 게이트 전극(430)을 포함한다. 기판(400)은 본원에 설명된 임의의 기판 재료일 수 있거나 이를 포함할 수 있다. 게이트 유전체(420)는 하나 이상의 유전체 또는 절연 재료 층을 포함할 수 있다. 예로서, 게이트 유전체(420)는 계면 층(421), 및 계면 층(421) 위에 증착된 고 유전율 재료(422)를 포함할 수 있다. 일부 경우에, 계면 층(421)은 존재하지 않을 수 있거나, 주목할 만한 정도로 존재하지 않을 수 있다. 계면 층(421)은, 예를 들어 화학적 산화 공정 또는 산화물 증착 공정을 사용하여 기판(410) 표면 상에, 예를 들어 단결정질 실리콘 상에 형성될 수 있는 실리콘 산화물과 같은 산화물을 포함할 수 있다. 고 유전율 재료(422)는, 예를 들어 약 7 초과의 유전 상수를 갖는 금속 산화물이거나 이를 포함할 수 있다. 일부 구현예에서, 고 유전율 재료는 실리콘 산화물의 유전 상수보다 높은 유전 상수를 포함한다. 예시적인 고 유전율 재료는 하프늄 산화물(HfO2), 탄탈륨 산화물(Ta2O5), 지르코늄 산화물(ZrO2), 티타늄 산화물 (TiO2), 하프늄 규산염(HfSiOx), 알루미늄 산화물(Al2O3) 또는 란타늄 산화물(La2O3) 또는 이들의 혼합물, 및 이의 라미네이트를 포함한다. 게이트 전극(430)은 제1 전도성 층(431), 희토류 금속 탄화물 함유 층(432), 및 제2 전도성 층(433)을 포함한다. 이들 층을 형성하기 위한 이들 층 및 증착 방법은, 본원의 다른 곳에서 더 상세히 설명된다. 예시적인 구현예에서, 제1 전도성 층(431) 및 제2 전도성 층(433) 중 적어도 하나는 티타늄 질화물을 포함하고, 희토류 금속 탄화물 함유 층(432)은 세륨 탄화물을 포함한다.
희토류 금속 탄화물 함유 층(432)을 포함하는 게이트 전극(430)의 유효 일함수는, 적어도 4.0 eV 내지 최대 5.6 eV일 수 있다. 게이트 스택의 유효 일함수는, 본원에 설명된 희토류 금속 탄화물 함유 층을 사용하여, 약 10 meV 내지 약 400 meV, 또는 약 30 meV 내지 약 300 meV, 또는 약 50 meV 내지 약 200 meV만큼 변이될 수 있다.
희토류 금속 탄화물 함유 층(432)은 < 5 nm, < 4 nm, < 3 nm, < 2 nm, < 1.5 nm, < 1.2 nm, < 1.0 nm, 또는 < 0.9 nm 미만의 두께로 연속 막을 형성할 수 있다. 희토류 금속 탄화물 함유 층(432)은 비교적 평활할 수 있으며, 비교적 낮은 결정립 경계선의 형성을 갖는다. 일부 경우에, 희토류 금속 탄화물 함유 층(432)은 적어도 부분적으로 비정질일 수 있다. 일부 구현예에서, 희토류 금속 탄화물 함유 층(432)은 전체적으로 또는 실질적으로 전체가 비정질일 수 있다. 예시적인 희토류 금속 탄화물 함유 층(432)의 RMS 조도는 10 nm 미만의 두께에서 < 1.0 nm, < 0.7 nm, < 0.5 nm, < 0.4 nm, < 0.35 nm, 또는 < 0.3 nm일 수 있다. 일부 구현예에서, 희토류 금속 탄화물 함유 층(432)은 단리된 섬, 갭 및/또는 구멍을 포함할 수 있다. 희토류 금속 탄화물 함유 층(432)은, 심지어 전체적으로 복수의 단리된 원자 및/또는 원자 클러스터로 이루어질 수 있다.
도 5는 본 개시의 예시에 따라 다른 예시적 구조체(500)를 나타낸다. 소자 또는 구조체(500)는 기판(510), 게이트 유전체(520), 및 게이트 전극(530)을 포함한다. 게이트 유전체(520)는 계면 층(521) 및 고 유전율 유전체 층(522)을 포함한다. 적절한 계면 층은 실리콘 산화물을 포함한다. 상기 구조체는, 제1 전도성 층(531), 희토류 금속 탄화물 함유층(532), 및 제2 전도성 층(533)을 포함한 게이트 전극(530)을 추가로 포함한다. 나타낸 예시에서, 기판(510)은 소스 영역(511), 드레인 영역(512), 및 채널 영역(513)을 포함한다. 바이어스, 즉 음의 전압 또는 양의 전압을 게이트 전극(530)에 인가함으로써, 소스 영역(511)과 드레인 영역(512) 사이의 전도성 채널이 채널 영역(513)에 형성될 수 있다. 게이트 유전체(520) 및 게이트 전극(530)의 성질, 특히 희토류 금속 탄화물 함유 층의 성질은 이러한 전도성 채널의 전압을 결정한다. 비록 수평형 구조체로 나타냈지만, 본 개시의 예시에 따른 구조체 및 소자는, 수직형 및/또는 3차원 구조체 및 소자, 예컨대 FinFET 소자, 게이트-올-어라운드 전계 트랜지스터 및 스택형 소자 아키텍처를 포함할 수 있다.
도 6은 본 개시의 예시에 따른 다른 구조체(600)를 나타낸다. 이 구조체(600)는 게이트 올 어라운드 전계 효과 트랜지스터(GAA FET)(측방향 나노와이어 FET로도 지칭됨) 소자 등에 적합하다. 나타낸 예시에서, 구조체(600)는 반도체 재료, 즉 채널 영역(610) 및 채널 영역(620)을 둘러싸는 게이트 유전체(620)를 포함한다. 구조체(600)는, 게이트 유전체(620)를 둘러싸는 게이트 전극을 추가로 포함한다. 게이트 전극은 제1 전도성 층(631), 본원에 설명된 희토류 금속 탄화물 함유층(632), 및 제2 전도성 층(633)을 포함한다. 채널 영역(620)은 임의의 적절한 반도체 재료를 포함할 수 있다. 예를 들어, 반도체 재료는 IV족, III-V족, 또는 II-VI족 반도체 재료를 포함할 수 있다. 예시로서, 반도체 재료는 실리콘, 또는 보다 구체적으로 단결정질 실리콘을 포함한다.
도 7은, 본 개시의 예시적인 추가 구현예에 따른 시스템(700)을 나타낸다. 시스템(700)은, 본원에 설명된 바와 같은 방법을 수행하고/수행하거나 본원에 설명된 바와 같은 구조체 또는 소자를 형성하기 위해 사용될 수 있다.
나타낸 예시에서, 시스템(700)은 하나 이상의 반응 챔버(702), 전구체 가스 공급원(704), 반응물 가스 공급원(706), 퍼지 가스 공급원(708), 배기(710), 및 제어기(712)를 포함한다. 반응 챔버(702)는 임의의 적합한 반응 챔버, 예컨대 ALD 또는 CVD 반응 챔버를 포함할 수 있다. 선택적으로, 시스템(700)은 추가 가스 공급원, 예컨대 선택적인 수소 함유 가스 공급원(705) 및 선택적인 추가 전구체 공급원(미도시)을 포함한다.
전구체 가스 공급원(704)은, 용기 및 본원에 설명된 바와 같은 하나 이상의 전구체를 단독으로 또는 하나 이상의 캐리어(예를 들어, 불활성) 가스와 혼합하여 포함할 수 있다. 반응물 가스 공급원(706)은, 용기 및 본원에 설명된 바와 같은 하나 이상의 반응물을 단독으로 또는 하나 이상의 캐리어 가스와 혼합하여 포함할 수 있다. 퍼지 가스 공급원(708)은 본원에 설명된 바와 같이 하나 이상의 불활성 가스, 예컨대 N2 또는 불활성 가스를 포함할 수 있다. 시스템(700)은 임의의 적절한 개수의 가스 공급원을 포함할 수 있다. 가스 공급원(704)-(708)은 라인(714)-(718)을 통해 반응 챔버(702)에 결합될 수 있으며, 이들 각각은 흐름 제어기, 밸브, 히터 등을 포함할 수 있다. 배기(710)는 하나 이상의 진공 펌프를 포함할 수 있다.
제어기(712)는 밸브, 매니폴드, 히터, 펌프 및 시스템700)에 포함된 다른 구성 요소를 선택적으로 작동시키기 위한 전자 회로 및 소프트웨어를 포함한다. 이러한 회로 및 구성 요소는, 전구체, 반응물, 퍼지 가스를 각각의 공급원(704)-(708)으로부터 도입하기 위해 작동한다. 제어기(712)는 가스 펄스 순서의 시점, 기판 및/또는 반응 챔버의 온도, 반응 챔버의 압력, 및 시스템(700)의 적절한 작동을 제공하는데 다양한 기타 작동을 제어할 수 있다. 제어기(712)는, 반응 챔버(702) 내로 그리고 반응 챔버로부터의 전구체, 반응물 및 퍼지 가스의 흐름을 제어하기 위한 밸브를 전기식 혹은 공압식으로 제어하는 제어 소프트웨어를 포함할 수 있다. 제어기(712)는, 소프트웨어 또는 하드웨어 구성 요소, 예를 들어 특정 작업을 수행하는 FPGA 또는 ASIC과 같은 모듈을 포함할 수 있다. 모듈은 제어 시스템의 어드레스 가능한 저장 매체에 탑재되도록 구성되고, 하나 이상의 공정을 실행하도록 유리하게 구성될 수 있다.
상이한 수 및 종류의 전구체 및 반응물 공급원 및 퍼지 가스 공급원을 포함하는 시스템(700)의 다른 구성이 가능하다. 또한, 가스를 반응 챔버(702) 내로 선택적으로 공급하는 목적을 달성하는데 사용될 수 있는 밸브, 도관, 전구체 공급원, 퍼지 가스 공급원의 다수의 배열이 존재함을 이해할 것이다. 또한, 시스템을 개략적으로 표현하면서, 많은 구성 요소가 예시의 단순화를 위해 생략되었는데, 이러한 구성 요소는, 예를 들어 다양한 밸브, 매니폴드, 정화기, 히터, 용기, 벤트, 및/또는 바이패스를 포함할 수 있다.
반응기 시스템(700)의 작동 중에, 반도체 웨이퍼(미도시)와 같은 기판은, 예를 들어 기판 핸들링 시스템에서 반응 챔버(702)로 이송된다. 일단 기판(들)이 반응 챔버(702)로 이송되면, 전구체, 반응물, 캐리어 가스, 및/또는 퍼지 가스와 같이, 가스 공급원(704)-(708)으로부터 하나 이상의 가스가 반응 챔버(702) 내로 유입된다.
도 8은 본원에 개시된 방법의 구현예에 의해 증착된 희토류 금속 탄화물 함유 층을 포함한 고 종횡비 테스트 구조체의 투과 전자 현미경 사진을 나타낸다. 특히, 패널 a)는 테스트 구조체의 확대도를 제공하고, 패널 b)는 고해상도 현미경 사진을 나타낸다. 테스트 구조체는 다음의 등각성으로 증착된 층의 스택을 포함한다: 고 유전율 유전체로서 HfO2, 제1 전도성 층으로서 1.5 TiN, 희토류 금속 탄화물 함유 층으로서 5 nm 세륨 탄화물. 패널 a) 및 b)에 나타낸 바와 같이, 본원에 개시된 방법은 우수한 스텝 커버리지를 얻을 수 있게 한다. 샘플 준비는 다음과 같았다: TEM 준비용 샘플을 FEI Strata 400 듀얼 빔 FIB/SEM 상에서 인시츄 집속 이온 빔(FIB) 리프트 아웃 기술을 사용하여 제조하였다. 샘플을 밀링 전에 스퍼터링된 Ir 및 e-Pt/I-Pt로 캡핑하였다. TEM 라멜라 두께는 ~100 nm였다. 브라이트 필드(BF) TEM 모드, 고 해상도(HR) TEM 모드, 및 고 각도 환형 다크 필드(HAADF) STEM 모드에서 200kV로 작동되는 FEI Tecnai TF-20 FEG/TEM으로 샘플을 이미지화하였다. STEM 프로브 크기는 0.2 nm 공칭 직경이었다. EDS 스펙트럼은 FEI Osiris 4SDD 시스템을 사용하여 STEM 모드에서 획득하였다.
도 9는, 희토류 금속 탄화물 함유 층 유무의 게이트 전극을 포함하는 금속-산화물-반도체 커패시터(MOSCAP) 테스트 구조체에 대한 정전용량-전압(CV) 곡선을 나타낸다. 테스트 구조체는, p형 기판에 대한 오믹 접촉, 게이트 유전체, 및 게이트 전극을 구체적으로 포함한다. 좌측 곡선(910)은 TiN 전극, 즉 희토류 금속 탄화물 함유 층이 없는 전극이 사용될 경우의 게이트 바이어스의 함수로서 CV 측정치를 나타낸다. 우측 곡선(920)은 희토류 금속 탄화물 함유 층을 포함한 전극을 사용한 경우의 게이트 바이어스의 함수로서 CV 측정치를 나타낸다. 특히, 전극은 다음 층을 포함한다: 제1 전도성 층으로서 1.5 nm TiN, 희토류 금속 탄화물 함유 층으로서 3 nm 세륨 탄화물, 및 제2 전도성 층으로서 10 nm TiN. 세륨 탄화물 증착은 1.3 토르의 압력, 425°C에서 수행하였다. Ce(iPrCp)3을 전구체로서 사용하였다. 전구체 펄스 시간은 6초였고, 사이클 내 퍼지 시간은 10초였다. 디요오드에탄을 반응물로서 사용하였다. 130°C의 온도로 유지되는 용기를 전구체 공급원으로서 사용하였다. 디요오드에탄을 실온에서 용기 내에서 유지시켰다. 반응물 펄스 시간은 5초였고 사이클 간 퍼지 시간은 10초였다. 400회 펄스를 사용하여 세륨 탄화물 층을 증착하였다. 도 9에 나타낸 CV 측정은, 3 nm의 세륨 탄화물 층이 게이트 전극에 통합될 경우에 전극의 유효 일함수가 0.8 eV만큼 증가함을 나타낸다. CV 곡선은 적어도 1 kHz 내지 최대 100 kHz의 주파수에서 수행하였다.
도 10은, 세륨 탄화물 층의 두께를 제외하고, 도 9의 맥락에서 설명된 테스트 구조체와 동일한 테스트 구조체에 대한 CV 전압 측정의 추가 결과를 나타낸다. 특히, 도 10은 1.5 nm, 2.2 nm, 및 3 nm의 두께를 갖는 세륨 탄화물 층에 대한 결과를 나타낸다. 400회 사이클을 사용하여 1.5 nm 두께의 층을 증착하고, 600회 사이클을 사용하여 2.2 nm 두께의 층을 증착하고, 800회 사이클을 사용하여 3 nm 두께의 층을 증착하였다. 0 nm에 대해 나타낸 데이터 포인트는, 전극이 세륨 탄화물 층을 포함하지 않는 구조체에 대한 기준 측정이다. 상기 측정은, 단지 1.5 nm의 두께를 갖는 세륨 탄화물 층에 대해서도 유효 일함수가 0.8 eV만큼 증가될 수 있음을 나타낸다. 유효 일함수는, 세륨 탄화물 층 두께가 더 증가되는 경우에 실질적으로 더 증가하지는 않는다. 측정은, 1.5 nm의 두께만을 갖는 세륨 탄화물 층이 사용되는 경우에, 플랫밴드 전압 - 0.7 볼트에서의 게이트 전류가 3배만큼 감소함을 추가적으로 나타낸다. 게이트 전류는, 더 두꺼운 세륨 탄화물 층이 사용되는 경우에 실질적으로 변하지 않는다. 단지 1.5 nm의 세륨 탄화물 층이 사용되는 경우에, MOSCAP의 게이트 스택의 등가 산화물 두께는 단지 0.1 nm만큼 증가한다. 등가 산화물 두께는, 세륨 탄화물 층 두께가 증가함에 따라 점진적으로 증가한다.
도 11은 본원에 설명된 방법의 다른 구현예를 나타낸다. 특히, 도 11은, 예를 들어 도 3에 따른 방법의 구현예에서 희토류 금속 탄화물 함유 층(314)을 증착하는 단계와 같이, 희토류 금속 탄화물 함유 층을 증착하기 위해 사용될 수 있는 방법을 나타낸다. 도 11에 따른 방법은, 기판이 반응 챔버에 제공된 이후에 시작(1111)된다. 반응 챔버는, 주기적 증착 공정을 수행하도록 구성된 화학 기상 증착 반응기 시스템의 반응 챔버일 수 있거나 이를 포함할 수 있다. 추가적으로 또는 대안적으로, 반응 챔버는, 주기적 증착 공정을 수행하도록 구성된 원자층 증착 반응기 시스템의 반응 챔버일 수 있거나 이를 포함할 수 있다. 반응 챔버는 독립형 반응 챔버 또는 클러스터 툴의 일부일 수 있다. 도 11에 따른 방법은 두 개의 서브 사이클을 포함한다: 제1 서브 사이클(1119) 및 제2 서브 사이클(1120). 일부 구현예에서, 제1 서브 사이클(1119) 및 제2 서브 사이클(1120) 중 적어도 하나는 1회 이상 반복된다. 제1 서브 사이클(1119)은 1회 이상 반복될 수 있는 주기적 증착 공정에 의해 희토류 금속 탄화물 함유 서브 층을 증착하는 단계(1119)를 포함한다. 반복 횟수는 이 희토류 금속 카바이드 함유 서브 층의 두께를 결정한다. 제1 서브 사이클(1119)은, 희토류 금속 전구체가 반응 챔버에 제공되는 제1 전구체 펄스(1112)를 포함한다. 본원에서 언급된 임의의 희토류 금속 전구체가 제1 전구체 펄스(1112)에 사용될 수 있다. 제1 서브 사이클(1119)은, 제1 반응물이 반응 챔버에 제공되는 제1 반응물 펄스(1113)를 추가로 포함한다. 본원에서 언급된 임의의 탄소 반응물은 제1 반응물 펄스(1113)로 반응 챔버에 제공될 수 있다. 제2 서브 사이클(1120)은 제2 전구체 펄스(1114) 및 제2 반응물 펄스(1115)를 포함한다. 제2 전구체 펄스(1114)에서, 전이금속 전구체는 반응 챔버에 제공된다. 본원에서 언급된 임의의 전이금속 전구체가 제2 전구체 펄스(1114)에 사용될 수 있다. 제2 반응물 펄스에서, 임의의 질소 반응물이 사용될 수 있다. 적합한 질소 반응물은 N2 및 NH3와 같은 질소 함유 가스를 포함한다. 대안적으로, 탄소 반응물은 제2 반응물 펄스에 사용될 수 있다. 적절한 탄소 반응물은 본원의 다른 곳에서 언급된 탄소 반응물을 포함한다. 일부 구현예에서, 제1 서브 사이클(1119) 및 제2 서브 사이클(1120) 중 적어도 하나는 1회 이상 반복된다. 일부 구현예에서, 제1 서브 사이클(1119)은 적어도 1 내지 최대 2, 5, 10 또는 20회 반복된다. 일부 구현예에서, 제2 서브 사이클(1120)은 적어도 1 내지 최대 2, 5, 10 또는 20회 반복된다. 반복 횟수는 제1 서브 사이클(1119) 및 제2 서브 사이클(1120) 동안 증착된 서브 층의 두께를 결정한다. 상기 방법은 슈퍼 사이클(1118)을 추가로 포함한다. 슈퍼 사이클(1118)은 제1 서브 사이클(1119) 및 제2 서브 사이클(1120)을 포함한다. 일부 구현예에서, 슈퍼 사이클(1118)은 1회 이상 반복된다. 일부 구현예에서, 슈퍼 사이클(1118)은 적어도 1 내지 최대 2, 5, 10 또는 20회 반복된다. 슈퍼 사이클(1118)을 여러 번 반복함으로써, 복수의 교대하는 서브 층을 포함한 층이 얻어질 수 있다. 슈퍼 사이클(1118)은 원하는 층 두께를 얻기 위해 임의의 횟수로 반복될 수 있다. 선택적으로, 반응 챔버는 제1 전구체 펄스(1112) 후에 퍼지될 수 있다. 선택적으로, 반응 챔버는 제1 반응물 펄스(1113) 후에 퍼지될 수 있다. 선택적으로, 반응 챔버는 제2 전구체 펄스(1114) 후에 퍼지될 수 있다. 선택적으로, 반응 챔버는 제2 반응물 펄스(1115) 후에 퍼지될 수 있다. 원하는 두께를 갖는 층이 증착되었을 경우에, 방법은 종료(1121)된다. 일단 방법이 종료되면, 기판은, 예를 들어 소자 구조체 및/또는 소자를 형성하기 위한 추가 공정을 거칠 수 있다.
전술한 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이며, 이는 첨부된 청구범위 및 그의 법적 균등물에 의해 정의된다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 나타내고 설명된 것 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경예 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (61)

  1. 기판 상에 희토류 금속 탄화물 함유 층을 증착하기 위한 방법으로서, 상기 방법은,
    - 표면 층을 포함한 기판을 반응 챔버 내에 제공하는 단계;
    - 주기적 증착 공정에 의해 상기 표면 층 상에 희토류 금속 탄화물 함유 층을 증착하는 단계로서, 상기 주기적 증착 공정은 하나 이상의 사이클을 포함하며, 상기 사이클은,
    - 희토류 금속 전구체를 전구체 펄스로 상기 반응 챔버에 제공하는 단계; 및
    - 탄소 반응물을 반응물 펄스로 상기 반응 챔버에 제공하는 단계;를 포함하는, 상기 희토류 금속 탄화물 함유 층을 증착하는 단계;를 포함해서,
    상기 기판 상에 희토류 금속 탄화물 함유 층을 형성하는, 방법.
  2. 기판 상에 전극을 형성하는 방법으로서, 상기 방법은,
    - 게이트 유전체를 포함한 기판을 반응 챔버 내에 제공하는 단계;
    - 상기 게이트 유전체 상에 제1 전도성 층을 증착하는 단계;
    - 주기적 증착 공정에 의해 상기 제1 전도성 층 상에 희토류 금속 탄화물 함유 층을 증착하는 단계로서, 상기 주기적 증착 공정은 하나 이상의 사이클을 포함하며, 상기 사이클은,
    - 희토류 금속 전구체를 전구체 펄스로 상기 반응 챔버에 제공하는 단계; 및
    - 탄소 반응물을 반응물 펄스로 상기 반응 챔버에 제공하는 단계;를 포함하는, 상기 희토류 금속 탄화물 함유 층을 증착하는 단계; 및
    - 상기 희토류 금속 탄화물 층 상에 제2 전도성 층을 증착하는 단계;를 포함해서,
    상기 제1 전도성 층, 상기 희토류 금속 탄화물 함유 층, 및 상기 제2 전도성 층을 포함한 전극을 상기 기판 상에 형성하는, 방법.
  3. 제1항 또는 제2항에 있어서,
    상기 희토류 금속 탄화물 함유 층은 란타늄 탄화물, 이트륨 탄화물, 에르븀 탄화물, 사마륨 탄화물, 유로피움 탄화물, 이터븀 탄화물, 및 세륨 탄화물로부터 선택된 희토류 금속 탄화물을 포함하고, 상기 희토류 금속 전구체는 란타늄 전구체, 이트륨 전구체, 에르븀 전구체, 사마륨 전구체, 유로피움 전구체, 이터븀 전구체, 및 세륨 전구체로부터 선택되는, 방법
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 희토류 금속 전구체는 산화 상태 +3의 희토류 금속과 산화 상태 +4의 희토류 금속 중 적어도 하나를 포함하는, 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 희토류 금속 탄화물 층은 세륨 탄화물을 포함하고, 상기 희토류 금속 전구체는 세륨 전구체를 포함하는, 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 희토류 금속 전구체는 치환 또는 미치환 시클로펜타디에닐 리간드를 포함하는, 방법.
  7. 제6항에 있어서,
    상기 희토류 금속 전구체는 C1 내지 C4 알킬-치환 시클로펜타디에닐 리간드를 포함하는, 방법.
  8. 제7항에 있어서,
    상기 알킬-치환 시클로펜타디에닐 리간드는 EtCp, MeCp, iPrCp, nBuCp, 및 tBuCp로부터 선택되는, 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 희토류 금속 전구체는 알킬시릴-치환 시클로펜타디에닐 리간드를 포함하는, 방법.
  10. 제3항에 있어서, 상기 세륨 전구체는 Ce(iPrCp)3을 포함하는, 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서,
    상기 탄소 반응물은 할로겐화 C1 내지 C6 알칸 또는 알켄을 포함하는, 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 탄소 반응물은 요오드를 포함하는, 방법.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서,
    상기 탄소 반응물은 C2H5I, C2H4I2, CH2I2, CHI3, CH3I, 및 CI4로부터 선택되는, 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서,
    상기 탄소 반응물은 C2H4I2를 포함하는, 방법.
  15. 제2항 내지 제14항 중 어느 한 항에 있어서,
    상기 제1 전도성 층은 제1 전이금속 질화물을 포함하는, 방법.
  16. 제15항에 있어서,
    상기 제1 전이금속 질화물은 티타늄 질화물을 포함하는, 방법.
  17. 제2항 내지 제16항 중 어느 한 항에 있어서,
    상기 제2 전도성 층은 제2 전이금속 질화물을 포함하는, 방법.
  18. 제17항에 있어서,
    상기 제2 전이금속 질화물은 티타늄 질화물을 포함하는, 방법.
  19. 제2항 내지 제14항 중 어느 한 항에 있어서,
    상기 제1 전도성 층은 제1 전이금속 탄화물을 포함하는, 방법.
  20. 제19항에 있어서,
    상기 제1 전이금속 탄화물은 티타늄 탄화물을 포함하는, 방법.
  21. 제2항 내지 제20항 중 어느 한 항에 있어서,
    상기 제2 전도성 층은 제2 전이금속 탄화물을 포함하는, 방법.
  22. 제21항에 있어서,
    상기 제2 전이금속 탄화물은 티타늄 탄화물을 포함하는, 방법.
  23. 제1항 내지 제14항 중 어느 한 항에 있어서,
    상기 제1 전도성 층 및 상기 제2 전도성 층 중의 적어도 하나는 실리콘 질화물을 포함하는, 방법.
  24. 제1항 내지 제14항 중 어느 한 항에 있어서,
    상기 제1 전도성 층 및 상기 제2 전도성 층 중의 적어도 하나는 금속을 포함하는, 방법.
  25. 제1항 내지 제24항 중 어느 한 항에 있어서,
    상기 전구체 펄스는 상기 반응물 펄스에 선행하는, 방법.
  26. 제25항에 있어서,
    상기 주기적 증착 공정은 제1 수소 펄스를 추가로 포함하고, 상기 제1 수소 펄스는 상기 반응 챔버에 제1 수소 함유 가스를 제공하는 단계를 포함하는, 방법.
  27. 제26항에 있어서,
    상기 제1 수소 함유 가스는 H2를 포함하는, 방법.
  28. 제27항에 있어서,
    상기 제1 수소 펄스는 상기 전구체 펄스 이후 및 상기 반응물 펄스 이전에 발생하는, 방법.
  29. 제28항에 있어서,
    상기 주기적 증착 공정은 제2 수소 펄스를 추가로 포함하고, 상기 제2 수소 펄스는 상기 반응 챔버에 제2 수소 함유 가스를 제공하는 단계를 포함하고, 상기 제2 수소 펄스는 상기 반응물 펄스 이후에 발생하는, 방법.
  30. 제29항에 있어서,
    상기 제2 수소 함유 가스는 H2를 포함하는, 방법.
  31. 제1항 내지 제30항 중 어느 한 항에 있어서,
    상기 주기적 증착 공정은 추가 전구체 펄스를 포함하고, 상기 추가 전구체 펄스는 상기 반응 챔버에 추가 전구체를 제공하는 단계를 포함하는, 방법.
  32. 제31항에 있어서,
    상기 추가 전구체 및 상기 희토류 금속 전구체는 상이한, 방법.
  33. 제31항 또는 제32항에 있어서,
    상기 추가 전구체는 희토류 금속과 전이금속 원자 중 적어도 하나를 포함하는, 방법.
  34. 제31항 내지 제33항 중 어느 한 항에 있어서,
    상기 추가 전구체 펄스는 제3 수소 펄스에 의해 이어지며, 상기 제3 수소 펄스는 제3 수소 함유 가스를 상기 반응 챔버에 제공하는 단계를 포함하는, 방법.
  35. 제34항에 있어서,
    상기 제3 수소 함유 가스는 H2를 포함하는, 방법.
  36. 제1항 내지 제35항 중 어느 한 항에 있어서,
    상기 희토류 금속 탄화물 함유 층은 사이클당 0.1 nm 이하의 성장 속도로 성장되는, 방법.
  37. 제1항 내지 제36항 중 어느 한 항에 있어서,
    상기 희토류 금속 탄화물 함유 층은 적어도 350℃ 내지 최대 500℃의 온도에서 증착되는, 방법.
  38. 제1항 내지 제37항 중 어느 한 항에 있어서,
    상기 희토류 금속 탄화물 함유 층은 적어도 0.5 Torr 내지 최대 5 Torr의 압력에서 증착되는, 방법.
  39. 제1항 내지 제38항 중 어느 한 항에 있어서,
    상기 희토류 금속 탄화물 함유 층은 적어도 0.5 nm 내지 최대 5.0 nm의 두께를 갖는, 방법.
  40. 제1항 내지 제39항 중 어느 한 항에 있어서,
    상기 희토류 금속 탄화물 함유 층은 적어도 0.5 Torr 내지 최대 5 Torr의 압력에서 증착되는, 방법.
  41. 제1항 내지 제40항 중 어느 한 항에 있어서,
    상기 희토류 금속 탄화물 함유 층은 교차 유동 반응기 내에서 증착되는, 방법.
  42. 제1항 내지 제41항 중 어느 한 항에 있어서,
    상기 희토류 금속 탄화물 함유 층은 핫-월 반응기 내에서 증착되는, 방법.
  43. 제1항 내지 제42항 중 어느 한 항에 있어서,
    상기 전구체는 온도 제어된 전구체 용기로부터 상기 반응 챔버로 제공되는, 방법.
  44. 제43항에 있어서,
    상기 온도 제어된 전구체 용기는 적어도 100℃ 내지 최대 200℃의 온도에서 유지되는, 방법.
  45. 제1항 내지 제44항 중 어느 한 항에 있어서,
    상기 전구체는 캐리어 가스에 의해 상기 반응 챔버에 제공되는, 방법.
  46. 제45항에 있어서,
    상기 캐리어 가스는 질소 또는 불활성 가스인, 방법.
  47. 제1항 내지 제46항 중 어느 한 항에 있어서,
    상기 주기적 증착 공정은 써멀 공정을 포함하는, 방법.
  48. 시스템으로서,
    하나 이상의 반응 챔버;
    전구체를 포함한 전구체 가스 공급원;
    반응물을 포함한 반응물 가스 공급원;
    배기 공급원; 및
    제어기를 포함하되,
    상기 제어기는 제1항 내지 제47항 중 어느 한 항에 따른 방법을 수행하기 위해 상기 하나 이상의 반응 챔버 중 적어도 하나로의 가스 흐름을 제어하도록 구성되는, 시스템.
  49. 제1 전도성 층, 희토류 금속 탄화물 함유 층, 및 제2 전도성 층의 순서로, 층들의 스택을 포함하는 전극.
  50. 제49항에 있어서,
    상기 희토류 금속 탄화물 함유 층은 란타늄 탄화물, 이트륨 탄화물, 에르븀 탄화물, 및 세륨 탄화물로부터 선택된 희토류 금속 탄화물을 포함하는, 전극.
  51. 제49항에 있어서,
    상기 희토류 금속 탄화물 함유 층은 세륨 탄화물을 포함하는, 전극.
  52. 제49항 내지 제51항 중 어느 한 항에 있어서,
    상기 제1 전도성 층은 제1 전이금속 질화물을 포함하는, 전극.
  53. 제52항에 있어서,
    상기 제1 전이금속 질화물은 티타늄 질화물을 포함하는, 전극.
  54. 제49항 내지 제53항 중 어느 한 항에 있어서,
    상기 제2 전도성 층은 제2 전이금속 질화물을 포함하는, 전극.
  55. 제54항에 있어서,
    상기 제2 전이금속 질화물은 티타늄 질화물을 포함하는, 전극.
  56. 제49항 내지 제55항 중 어느 한 항에 있어서,
    상기 제1 전도성 층은 적어도 0.8 nm 내지 최대 4.5 nm의 두께를 갖는, 전극.
  57. 제49항 내지 제56항 중 어느 한 항에 있어서,
    상기 제2 전도성 층은 적어도 1 nm 내지 최대 20 nm의 두께를 갖는, 전극.
  58. 제49항 내지 제57항 중 어느 한 항에 있어서,
    상기 희토류 금속 탄화물 함유 층은 적어도 0.07 nm 내지 최대 5 nm의 두께를 갖는, 전극.
  59. 제1항 내지 제47항 중 어느 한 항에 따른 방법에 의해 형성된 제49항 내지 제58항 중 어느 한 항에 따른 전극.
  60. 게이트 스택을 포함하는 금속-산화물-반도체 전계 효과 트랜지스터로서, 상기 게이트 스택은 제49항 내지 제59항 중 어느 한 항에 따른 전극을 포함하는, 금속-산화물-반도체 전계 효과 트랜지스터.
  61. 게이트-올-어라운드(gate-all-around) 아키텍처를 갖는 제60항에 따른, 금속-산화물-반도체 전계 효과 트랜지스터.
KR1020210176542A 2020-12-14 2021-12-10 임계 전압 제어용 구조체를 형성하는 방법 KR20220085020A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063125194P 2020-12-14 2020-12-14
US63/125,194 2020-12-14

Publications (1)

Publication Number Publication Date
KR20220085020A true KR20220085020A (ko) 2022-06-21

Family

ID=81898978

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210176542A KR20220085020A (ko) 2020-12-14 2021-12-10 임계 전압 제어용 구조체를 형성하는 방법

Country Status (4)

Country Link
US (1) US20220189775A1 (ko)
KR (1) KR20220085020A (ko)
CN (1) CN114628232A (ko)
TW (1) TW202233884A (ko)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI536451B (zh) * 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
KR102263765B1 (ko) * 2015-04-08 2021-06-09 에스케이하이닉스 주식회사 반도체 소자, 그의 제조 방법, 및 이를 구비하는 반도체 장치
US10458018B2 (en) * 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same

Also Published As

Publication number Publication date
TW202233884A (zh) 2022-09-01
CN114628232A (zh) 2022-06-14
US20220189775A1 (en) 2022-06-16

Similar Documents

Publication Publication Date Title
US20210327715A1 (en) Method of forming chromium nitride layer and structure including the chromium nitride layer
US11827978B2 (en) Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
TWI797232B (zh) 於反應腔室內藉由循環沉積製程於基板上沉積材料膜之方法及相關裝置結構
KR20210078405A (ko) 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20200058290A (ko) 기판 상에 전이금속 칼코지나이드 막을 주기적 증착 공정에 의해 증착하는 방법
KR20190009245A (ko) 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
TW201728779A (zh) NbMC層
US20060258078A1 (en) Atomic layer deposition of high-k metal oxides
US20220139713A1 (en) Molybdenum deposition method
US20220139702A1 (en) Methods for depositing a hafnium lanthanum oxide film on a substrate by a cyclical deposition process in a reaction chamber
US20220165575A1 (en) Method of forming structures for threshold voltage control
KR20220137547A (ko) 갭 충진 방법과 이와 관련된 시스템 및 소자
US20220189775A1 (en) Method of forming structures for threshold voltage control
KR20210024421A (ko) 주기적 증착 공정에 의해 기판 표면 상에 몰리브덴 질화물 막을 증착하는 방법 및 이와 관련된 몰리브덴 질화물 막을 포함한 반도체 소자 구조
US12031206B2 (en) Methods and systems for forming a layer comprising a transitional metal and a group 13 element
US20230015690A1 (en) Methods and systems for forming a layer comprising a transitional metal and a group 13 element
US20220285147A1 (en) Methods and systems for forming a layer comprising aluminum, titanium, and carbon
US20210335615A1 (en) Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) Method of forming vanadium nitride-containing layer
US20210335612A1 (en) Methods of forming structures including vanadium boride and vanadium phosphide layers
US20220285146A1 (en) Methods and systems for forming a layer comprising vanadium and nitrogen
US20240234129A1 (en) Methods and systems for forming structures comprising a threshold voltage tuning layer
US20220123131A1 (en) Method of forming structures for threshold voltage control
KR20230166934A (ko) 기판 상에 13족 원소를 포함한 층을 형성하기 위한 방법 및 시스템
KR20220090438A (ko) 전이금속 증착 방법