KR20210015655A - 기판 처리 장치 및 방법 - Google Patents

기판 처리 장치 및 방법 Download PDF

Info

Publication number
KR20210015655A
KR20210015655A KR1020200087878A KR20200087878A KR20210015655A KR 20210015655 A KR20210015655 A KR 20210015655A KR 1020200087878 A KR1020200087878 A KR 1020200087878A KR 20200087878 A KR20200087878 A KR 20200087878A KR 20210015655 A KR20210015655 A KR 20210015655A
Authority
KR
South Korea
Prior art keywords
precursor
substrate
layer
radiation
reaction chamber
Prior art date
Application number
KR1020200087878A
Other languages
English (en)
Inventor
이보 라이마커스
다니엘르 피우미
이반 줄코프
다비드 드 뢰스트
마이클 지벤스
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210015655A publication Critical patent/KR20210015655A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/265Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

희생 마스킹층을 생성하기 위한 기판 처리 방법과 장치가 개시된다. 희생 마스킹층을 선택적으로 성장하기 위해 반응 챔버에서 복사선 개질 및 비개질층 부분 중 하나와 반응하고 복사선 개질 및 비개질층 부분 중 다른 하나와 반응하도록 선택된 제1 전구체를 기판 상에 제공함으로써, 층을 생성한다.

Description

기판 처리 장치 및 방법{SUBSTRATE PROCESSING APPARATUS AND METHOD}
본 개시는 일반적으로 기판 처리 장치, 및 희생 마스킹 층을 생성하는 방법에 관한 것이다.
기판 상에 희생 마스킹 층을 생성하기 위한 기판 처리 장치는, 기판 처리 장치와 리쏘그래피 투여 장치 사이에서 기판을 이송하기 위한 리쏘 입력/출력 포트를 포함할 수 있다.
희생 마스킹층을 생성하기 위한 기판 처리 방법은,
복사선 개질 가능층을 갖는 기판을 패터닝용 리쏘그래피 투영 장치에 제공하는 단계, 및
상기 기판의 복사선 개질 가능층을 상기 리쏘그래피 투영 장치의 노광 복사선으로 패터닝하여, 복사선에 노광된 상기 복사선 개질 가능층을 개질하는 단계를 포함할 수 있다.
기판 상의 레지스트층에서의 패턴 형성 이전 및 이후에 기판 상에서 상이한 공정 단계를 수행하기 위해 기판 처리 장치(예컨대 트랙 또는 코터)를 사용할 수 있다. 예를 들어, 오염물이 기판 상에 존재하면, 화학 처리에 의해 그것을 제거할 수 있다. 기판은 기판 상에 존재할 수 있는 임의의 수분을 방출하기에 충분한 온도로 가열될 수 있다. 기판 상의 레지스트의 부착을 촉진하기 위해 부착 촉진제를 도포할 수 있다.
기판 처리 장치의 레지스트 증착 장치에서, 기판은 레지스트층으로, 예를 들어 레지스트를 스핀 코팅함으로써 덮일 수 있다. 점성 있는 레지스트 액체 용액을 기판 상으로 디스펜싱할 수 있고, 기판을 스피닝하여 얇고 균일한 층을 생성할 수 있다. 그 다음, 레지스트 코팅된 웨이퍼를 베이킹하여 레지스트 용매를 증발시킬 수 있다.
레지스트층을 갖는 기판은, 기판 처리 장치에서 리쏘그래피 투영 장치로 이송될 수 있다. 리쏘그래피 투영 장치에서, 레지스트층을 갖는 기판은 (극)자외선의 패터닝된 복사선 빔에 노광될 수 있다. 복사선에 대한 노광은, 층을 패터닝하는 레지스트의 화학적 변화를 초래한다.
EUV 리쏘그래피의 경우, 레지스트층은 매우 얇을 수 있다. 이러한 얇은 층은 에칭에 저항성이 매우 낮을 수 있다.
본 발명의 내용은 선정된 개념을 단순화된 형태로 소개하기 위해 제공된다. 이들 개념은 하기의 본 발명의 예시적 구현예의 상세한 설명에 더 상세하게 기재되어 있다. 본 발명의 내용은 청구된 요지의 주된 특징 또는 필수적인 특징을 구분하려는 의도가 아니며 청구된 요지의 범주를 제한하기 위해 사용하려는 의도 또한 아니다.
일부 구현예에서, 기판 상에 희생 마스킹층을 생성하기 위한 기판 처리 장치가 제공될 수 있다. 상기 기판 처리 장치는, 상기 기판 처리 장치와 리쏘그래피 투영 장치 사이에 기판을 이송하기 위한 리쏘 입력/출력 포트를 포함할 수 있다. 상기 기판 처리 장치는, 상기 희생 마스킹층을 생성하기 위해 반응 챔버 내에서 복사선 개질 및 비개질층 부분 중 하나와 반응하고 상기 복사선 개질 및 비개질층 부분의 다른 하나와 반응하지 않도록 선택된 제1 전구체를 제공하는, 선택적 증착 장치를 구비할 수 있다. 상기 장치는, 상기 리쏘 입력/출력 포트와 상기 선택적 증착 장치 사이에 기판을 이송하기 위한 기판 핸들러를 포함할 수 있다. 상기 장치는, 상기 기판 핸들러 및 상기 선택적 증착 장치에 작동 가능하게 연결된 제어 시스템을 포함할 수 있다. 상기 제어 시스템은, 상기 제어 시스템에서 실행되는 경우 다음을 제어하기 위한 프로그램을 갖는 메모리를 구비할 수 있어서, 기판 핸들러로 하여금 리쏘 입력/출력 포트로부터 기판을 픽업하고 이를 선택적 증착 장치로 이동시키고; 상기 선택적 증착 장치로 하여금, 상기 희생 마스킹층을 생성하기 위해 상기 반응 챔버 내에서 복사선 개질 및 비개질층 부분 중 하나와 반응하고 상기 복사선 개질 및 비개질층 부분의 다른 하나와 반응하지 않도록 선택된 제1 전구체를 제공시킨다.
일부 구현예에서, 희생 마스킹층을 생성하기 위한 기판 처리 방법이 개시된다. 상기 기판 처리 방법은, 복사선 개질 가능층을 갖는 기판을 패터닝용 리쏘그래피 투영 장치에 제공하는 단계를 포함할 수 있다. 상기 기판 처리 방법은, 상기 기판의 복사선 개질 가능층을 상기 리쏘그래피 투영 장치의 노광 복사선으로 패터닝하여 복사선에 노광된 상기 복사선 개질 가능층을 개질하는 단계를 추가로 포함할 수 있다. 상기 기판은, 상기 리쏘그래피 투영 장치에서 선택적 증착 장치의 반응 챔버로 이동할 수 있다. 상기 희생 마스킹층을 생성하기 위해 반응 챔버에 상기 복사선 개질 및 비개질층 부분 중 하나와 반응하고 상기 복사선 개질 및 비개질층 부분의 다른 하나와 반응하지 않도록 선택된 제1 전구체를 제공할 수 있다.
선행 기술에 비해 달성되는 장점 및 본 발명을 요약하기 위해, 본 발명의 특정 목적 및 장점이 앞서 본원에 기술되었다. 물론, 모든 목적 및 장점들이 본 발명의 임의의 특별한 구현예에 따라 반드시 달성되는 것이 아니라는 것을 이해하여야 한다. 따라서, 예들 들어 당업자는, 본 발명이, 본원에 교시 또는 제안될 수 있는 다른 목적들 또는 장점들을 반드시 달성하지 않고서, 본원에 교시되거나 제시된 바와 같은 하나의 장점 또는 여러 장점들을 달성하거나 최적화하는 방식으로 구현되거나 수행될 수 있다는 것을 인식할 것이다.
이들 구현예 모두는 본원에 개시된 본 발명의 범주 내에 있는 것으로 의도된다. 본 발명은 개시된 임의의 특정 구현예(들)에 한정되지 않으며, 이들 및 다른 구현예들은 첨부된 도면들을 참조하는 특정 구현예들의 다음의 상세한 설명으로부터 당업자에게 용이하게 분명할 것이다.
본 명세서는 본 발명의 구현예로 간주되는 것을 특별히 지적하고 명백하게 주장하는 청구범위로 결론을 내지만, 본 개시의 구현예들의 장점들은 첨부한 도면들과 관련하여 읽을 때 본 개시의 구현예들의 특정 예의 설명으로부터 더욱 쉽게 확인될 수 있고, 도면들 중:
도 1은 본 개시의 적어도 하나의 구현예에 따른 기판 처리 방법을 나타낸다.
도 2는 도 1의 기판 처리 방법에 적합한 기판 처리 장치를 나타낸다.
도 3은 도 2의 기판 처리 장치용 선택적 증착 장치를 나타낸다.
도 4 및 도 5는 본 개시의 구현예에 따른 레지스트 없는 패터닝 방법을 나타낸다. 도 4 및 도 5에 걸쳐, 하기 번호는 다음과 같이 고정된다: 410 - 복사 개질 가능층; 411 - 복사선 개질층 부분; 412 - 비개질층 부분; 420 - 하부층; 430 - 기판; 440 - 희생 마스킹층; 450 - 오목부.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형물 및 균등물을 넘어 확장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되는 구체적인 개시된 구현예에 의해 제한되지 않도록 의도된다. 본원에 제시된 예시는 임의의 특정한 물질, 구조, 또는 소자의 실제 뷰를 의도하려 하는 것은 아니며, 단지 본 발명의 구현예를 설명하기 위해 사용되는 이상화된 표현이다.
본원에서 사용되는 바와 같이, 용어 "기판"은, 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 또한, 용어 "개질 가능층"은 원자, 분자 또는 이온과 같은 추가 종이 도입될 수 있는 임의의 재료를 지칭할 수 있다. 용어 "반도체 소자 구조"는 반도체 기판 상에 또는 반도체 기판 내에 형성될 반도체 소자의 능동 또는 수동 구성 요소의 적어도 일부를 포함하거나 한정하는, 가공되거나 부분 가공된 반도체 구조의 임의의 부분을 지칭할 수 있다. 예를 들어, 반도체 소자 구조는, 집적 회로의 능동 및 수동 구성 요소, 예컨대 트랜지스터, 메모리 요소, 변환기, 커패시터, 저항기, 전도성 라인, 전도성 비아, 및 전도성 접촉 패드를 포함할 수 있다.
본원에 사용된 바와 같이, 용어 "전구체"는 다른 화합물을 생성하는 화학 반응에 참여하는 화합물, 및 특히 막 매트릭스 또는 막의 메인 골격을 구성하는 화합물을 지칭할 수 있으며; 용어 "반응물"은 용어 전구체와 상호 교환적으로 사용될 수 있다. 본 개시에서, "가스"는 정상 온도 및 압력(NTP)에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 포함할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다.
용어 "원자층 증착"은 기상 증착 공정을 지칭할 수 있고, 여기서 증착 사이클, 전형적으로 복수의 연속 증착 사이클은 공정 챔버에서 수행된다. 본원에서 사용된 용어 원자층 증착은, 전구체(들)/반응 가스(들), 및 퍼지(예, 불활성 캐리어) 가스(들)의 교번 펄스로 수행되는 경우, 화학 기상 원자층 증착, 원자층 에피택시(ALE), 분자 빔 에피택시(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다.
일반적으로, ALD 공정의 경우, 각각의 사이클 중에 전구체는 반응 챔버에 도입되고 증착 표면(예, 이전 ALD 사이클로부터 이전에 증착된 재료 또는 다른 재료를 포함할 수 있는 기판 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응인) 단층 또는 서브 단층을 형성한다. 그 후, 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 용도로, 반응물(예, 다른 전구체 또는 반응 가스)을 후속해서 공정 챔버에 도입시킬 수 있다. 반응물은 전구체와 더 반응할 수 있다. 하나 이상의 사이클 동안, 예를 들어 각 사이클의 각 단계 중에 퍼지 단계를 사용하여, 공정 챔버로부터 과잉의 전구체를 제거하고/제거하거나, 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거할 수 있다.
다수의 예시적인 물질은 본 개시의 구현예를 통해 주어질 수 있고, 예시적인 물질 각각에 주어진 화학식을 제한적인 것으로 이해해서는 안되고, 주어진 비제한적 예시적인 물질이 주어진 예시적 화학량론에 의해 한정되어서는 아니 되는 점을 주목해야 한다.
본 개시 목적의 하나는 포토리쏘그래피 레지스트와 하부에 놓인 층 사이에서 발생할 수 있는 부착 문제를 피하는 패터닝 장치 및 방법을 제공하는 것이다.
본 개시 목적의 다른 하나는, 레지스트/공기 계면에 포토 산 분리(photo acid segregation)를 피하는 패터닝 장치 및 방법을 제공하는 것이다.
본 개시 목적의 다른 하나는 그을음 결함 및/또는 레지스트 라인 끊김을 실질적으로 겪지 않는 리쏘그래피 과정을 허용하는 패터닝 장치 및 방법을 제공하는 것이다.
본 개시 목적의 다른 하나는 패턴 붕괴를 실질적으로 겪지 않는 리쏘그래피 절차를 허용하는 패터닝 장치 및 방법을 제공하는 것이다.
본 개시 목적의 다른 하나는 높은 에칭 예산을 갖는 리쏘그래피층 증착을 허용하는 패터닝 장치 및 방법을 제공하는 것이다.
도 1은 적어도 하나의 구현예에 따라 예시적인 기판 처리 방법을 나타낸다. 본 구현예는 희생 마스킹층을 생성하기 위한 기판 처리 방법을 포함할 수 있다.
기판 처리 방법(30)은, 단계(32)에서, 예를 들어 개질 가능층 증착 장치에 기판을 제공하는 단계; 단계(34)에서, 상기 개질 가능층 증착 장치 내의 기판 상에 복사선 개질 가능층을 증착하는 단계; 및 단계(36)에서, 상기 복사선 개질 가능층을 갖는 기판을 국부 개질, 예를 들어 패터닝용 리쏘그래피 투영 장치로 이동시키는 단계를 포함할 수 있다. 상기 개질 가능층을 갖는 기판을 다른 곳에서 또한 얻을 수 있다.
실제로, 상기 개질 가능층은 또한 기판의 상부 표면 또는 상부 표면으로서 제공된 층일 수 있다. EUV의 고에너지 복사선은 상부 표면의 특성을 변경할 수 있고, 이는 에칭 마스크를 생성하는 선택적 증착 공정을 위한 선택도를 생성할 수 있다.
일부 구현예에서, 상기 개질 가능층은 탄소를 포함할 수 있고, C-C, C-N, 및 C-O 결합으로 이루어진 목록으로부터 선택된 화학 결합을 포함할 수 있다. 이러한 결합은 EUV 복사선에 의해 적절하게 끊어질 수 있고, 따라서 노광 영역에서 개질 가능층의 특성을 국부적으로 개질시킨다. 그 다음, 이러한 국부 개질이 영역-선택적 증착을 위해 활용될 수 있다.
일부 구현예에서, 복사선 개질 가능층은 Sn, Sb, Hf, In, 및 Te로 이루어진 목록으로부터 선택된 하나 이상의 원소를 포함한다. 추가적으로 또는 대안적으로, Sn, Sb, Hf, In, 및 Te로 이루어진 목록으로부터 선택된 원소는 하부층에 제공될 수 있고, 그 위에 복사선 개질 가능층이 증착된다. 따라서, 일부 구현예에서, 복사선 개질 가능층을 증착하기 위한 공정은, Sn, Sb, Hf, In, 및 Te로 이루어진 목록으로부터 선택된 원소를 포함한 하부층 상에 복사선 개질 가능층을 증착하는 단계를 포함한다. 하부층은, 예를 들어 SiOC 및/또는 SiOCN을 포함할 수 있고, 예컨대 PECVD 또는 PEALD를 사용하는 방법으로 알려진 증착 방법일 수 있다. 본 발명이 임의의 이론이나 특정 작동 모드에 구속되지 않는다면, 이들 원소는, EUV 포톤에 대한 그들의 비교적 높은 포획 단면을 통해 EUV 복사선에 대한 상기 개질 가능층의 감도를 개선시키는 것으로 여겨진다.
리쏘그래피 투영 장치에서, 기판의 복사선 개질 가능층은, 단계(38)에서 리쏘그래피 투영 장치의 노광 복사선을 이용하여 패터닝되어 복사선에 노광된 복사선 개질 가능층을 개질시키고, 단계(40)에서 리쏘그래피 투영 장치로부터 선택적 증착 장치의 반응 챔버로 기판을 이동시킬 수 있다. 선택적 증착 장치에서, 상기 복사선 개질 및 비개질층 부분 중 하나와 반응하고 상기 복사선 개질 및 비개질층 부분의 다른 하나와 반응하지 않도록 선택된 제1 전구체를, 단계(42)에서 상기 희생 마스킹층을 생성하기 위해 반응 챔버 내에 제공할 수 있다.
제1 전구체는 개질층 및 비개질층 부분 중 하나와 반응하고, 개질층 및 비개질층 부분 중 다른 하나와 반응하지 않기 때문에, 희생 마스킹층은 에칭 저항성을 갖고 생성될 수 있으며, 이는 개질 가능층의 두께와 무관할 수 있다. 단계(34)에서 제공된 복사선 개질 가능층은, 단일층의 두께를 가질 수 있다. 복사선 개질 가능층은, 단일층 이상의 두께를 가질 수 있다. 복사선 개질 가능층은 0.1 내지 50 nm, 또는 0.5 내지 40 nm, 더 바람직하게는 5 내지 20 nm의 두께를 가질 수 있다. 일부 구현예에서, 복사선 개질 가능층은 적어도 0.1 nm 내지 최대 0.5 nm, 또는 적어도 0.5 nm 내지 최대 1.0 nm, 또는 적어도 1.0 nm 내지 최대 2.0 nm, 또는 적어도 2.0 nm 내지 최대 5.0 nm, 또는 적어도 5.0 nm 내지 최대 10.0 nm, 적어도 10.0 nm 내지 최대 20.0 nm, 또는 적어도 20.0 nm 내지 최대 50.0 nm의 두께를 가질 수 있다.
본 개시에 따라, 리쏘그래피 투영 장치의 노광 복사선으로 패터닝한 이후, 특수 현상액으로 복사선 개질 가능층의 일부를 제거할 필요가 없을 수 있다. 매우 작은 특징부 크기에서, 복사선 개질 가능층의 일부를 제거한 후에 패턴 붕괴될 위험이 있을 수 있다. 패턴 붕괴의 위험은 더 두꺼운 복사선 개질 가능층일수록 증가할 수 있다. 복사선 개질 가능층의 일부를 현상액으로 제거하지 않음으로써, 복사선 개질 가능층은 더 안정화될 수 있다.
복사선 개질 및 비개질층 부분 중 하나와 반응하고 복사선 개질 및 비개질층 부분의 다른 하나와 반응하지 않도록 제1 전구체를 선택할 수 있다. 에칭 저항성은, 개질 및 비개질층 부분 중 하나와 반응하는 제1 전구체에 의해 변화될 수 있고, 이에 따라 희생 마스킹층이 생성될 수 있다. 기판은 희생 마스킹층을 통해 에칭될 수 있고, 이에 의해 개질과 비개질층 부분 중 하나가 제거될 수 있다. 에칭이 끝난 후, 희생 마스킹층은 더 이상 필요하지 않으면 완전히 제거될 수 있다.
따라서, 본 개시의 일부 구현예에서, 복사선 개질 가능층은 기판 내에 복수의 오목부를 형성하기 위해 사용될 수 있다. 이러한 구현예에서, 희생 마스킹층은 복사선 개질층 부분과 비개질층 부분 중 어느 하나에 적합하게 증착될 수 있다. 희생 마스킹층은, 예를 들어 플라즈마 강화 원자층 증착(ALD), 써멀 ALD, 라디칼 강화 ALD(REALD), 플라즈마 강화 화학 기상 증착(CVD), 또는 써멀 CVD를 통해 증착될 수 있다.
일부 구현예에서, 희생 마스킹층은, CHx기(x는 1 내지 3의 정수임)와 비교하여 OH기에 대해 더 반응성인 전구체를 사용하여, 선택적인 ALD 공정으로 증착된다. 유리하게는, 이러한 구현예에 사용된 전구체는 CHx기(x는 1 내지 3의 정수임)에 대해 실질적으로 반응적이지 않고, OH기에 대해 상당히 반응적이다. CH3기보다 OH기에 대해 더 반응할 수 있는 전구체를 사용하는 공정의 예는, TIN 또는 TiO2의 ALD를 포함하고, TiCl4 및 NH3 또는 H2O TDMAT(테트라키스(디메틸아미도)티타늄) 및 H2O, 및/또는 TTIP(티타늄 테트라이소프로폭시드) 및 H2O를 사용한다. OH기에 대해 더 반응성이 있을 수 있는 전구체를 사용하는 공정의 다른 예는, EBECHRu(에틸-벤젠 에틸-1,4-시클로헥사디엔 루테늄)에 의한 Ru의 ALD를 포함한다. 본 발명이 임의의 특정 이론 또는 작동 모드에 제한되지 않는다면, 이들 공정은 OH 종결 표면에 대해 CH3 종결 표면 상에 핵 생성 지연을 나타내고 이는 CH3 종결 표면에 대해 OH 종결 표면 상에 선택적인 성장을 제공하도록 활용될 수 있는 것으로 여겨진다.
일부 구현예에서, 희생 마스킹층은, CHx기(x는 1 내지 3의 정수임)와 비교하여 OH기에 대해 덜 반응성인 전구체를 사용하여, 선택적인 ALD 공정으로 증착된다. 유리하게는, 이러한 구현예에 사용된 전구체는 CHx기(x는 1 내지 3의 정수임)에 대해 상당히 반응적이고, OH기에 대해 덜 반응적이거나 미반응한다. 이러한 공정은, OH 종결 표면에 대해 CHx 종결 표면 상에 희생 마스킹층의 선택적 증착을 위해 사용될 수 있다. 예를 들어, 전구체는 루테늄 테트록시드를 포함할 수 있고 H2를 시약으로 사용할 수 있다. 따라서, 루테늄 함유 희생 마스킹층은, 예를 들어 OH 종결 표면에 대해 CHx 종결 표면 상에 써멀 ALD 또는 플라즈마 강화 ALD에 의해 선택적으로 증착될 수 있다.
희생 마스킹 층이 증착된 후, 기판은 에칭 단계를 거칠 수 있다. 적절한 에칭 단계는 당업계에 공지되어 있다. 희생 마스킹층은 에칭 단계 후에, 바람직하기로 이와 같이 공지된 기술을 사용하여 제거될 수 있다.
선택적으로, 희생 마스킹층 증착 단계 및 에칭 단계는 하나 및 동일한 반응 챔버에서 수행될 수 있다. 선택적으로, 임의의 희생 마스킹층 제거 단계가 동일한 반응 챔버에서도 수행될 수 있다.
본 개시의 기판 처리 장치의 비제한적인 예를 도 2에 나타낼 수 있고, 이는 본 개시의 구현예에 따른 예시적인 기판 처리 장치(1)의 개략도를 포함한다. 도 2에 나타낸 기판 처리 장치(1)는 예시적인 기판 처리 장치를 개략적으로 단순화시킨 버전이고, 각각의 모든 요소, 즉 각각의 모든 밸브, 가스 라인 및 반응기 구성 요소 등을 포함하지 않으며, 이들은 본 개시의 기판 처리 장치의 제조에 이용될 수 있다.
예시적인 기판 처리 장치(1)는 카세트 취급 부분(2)을 포함할 수 있고, 그 위에 복수의 기판(15)을 갖는 카세트(3)가 배치될 수 있다. 카세트 취급 부분(2)은, 기판 처리 장치와 카세트(3) 내의 나머지 팹 사이에서 기판(15)을 이송하기 위한 팹 입력/출력 포트로서 기능할 수 있다. 기판 처리 장치(1)는 도 1의 기판 처리 방법에 적합할 수 있다.
기판 처리 장치는 리쏘 입력/출력 포트(5)를 가질 수 있다. 기판은 리쏘 입력/출력 포트(5)를 통해 리쏘그래피 투영 장치로 이송될 수 있다.
기판 처리 장치는 공정 처리 부분(4)을 가질 수 있다. 공정 처리 부분(4)에서, 기판 상에 복사선 개질 가능층을 증착하기 위한 개질 가능층 증착 장치(7)가 제공될 수 있다.
개질 가능층 증착 장치(7)는 기판 상에 복사선 개질 가능 재료(예, 레지스트)를 코팅하기 위한 복사선 개질 가능 코팅 장치를 포함할 수 있다. 개질 가능층 증착 장치(7)은, 기판을 회전시키기 위한 회전식 기판 테이블(17)과 기판의 표면에 액체를 제공하기 위한 액체 디스펜서를 포함할 수 있다. 액체 형태의 복사선 개질 가능 재료(예, 레지스트)는 기판에 제공될 수 있으면서, 20 내지 60초 동안 초당 10 내지 100 rpm으로 회전할 수 있다.
공정 처리 부분(4)에는, 카세트 취급 부분(2)의 카세트(3) 사이에서 기판을 이송하기 위한 기판 핸들러(6), 개질 가능층 증착 장치(7), 및 리쏘 입력/출력 포트(5)가 제공될 수 있다. 기판 핸들러(6)는 이 목적을 위해 수평 및 수직 방향으로 이동 가능한 기판 홀더를 가질 수 있다.
공정 처리 부분(4)에서, 반응 챔버(12), 및 기판을 반응 챔버(12) 내에 유지하기 위한 기판 테이블(13)을 구비한 선택적 증착 장치(11)가 제공될 수 있다. 복사선 개질 및 비개질층 부분 중 하나와 반응하고 복사선 개질 및 비개질층 부분 중 다른 하나와 반응하지 않도록 선택된 제1 전구체를 반응 챔버에 제공하여 마스킹층을 생성할 수 있다. 선택적 증착 장치는, 제1 기상 전구체를 반응 챔버(12)에 제공하고 이를 반응 챔버로부터 제거하기 위한, 하나 이상의 반응 챔버 밸브를 포함한 전구체 분배 및 제거 시스템(14)을 포함할 수 있다. 기판 핸들러(6)는, 기판을 선택적 증착 장치(11)로부터 그리고 선택적 증착 장치로 이동시키기 위해 구성되고 배열될 수 있다.
기판 처리 장치(1)는 제어 시스템(8)을 구비할 수 있고, 이는 기판 핸들러(6), 복사선 개질 가능층 증착 장치(7) 및 선택적 증착 장치(11)에 작동 가능하게 연결되고 프로그램을 구비한 메모리(M)를 구비한다. 메모리(M)의 프로그램이 제어 시스템(8) 상에서 실행되는 경우, 상기 프로그램은,
기판 핸들러(6)로 하여금 카세트 처리 부분(2)의 카세트(3)에서 기판(15)을 픽업하고 상기 기판을 개질 가능층 증착 장치(7)로 이동시키고 이를 회전식 기판 테이블(17) 상에 위치시키고,
복사선 개질 가능층 증착 장치(7)로 하여금 회전식 기판 테이블(17) 상의 기판 상에 복사선 개질 가능층을 증착시키고,
기판 핸들러(6)로 하여금 회전식 기판 테이블(17)에서 상기 기판을 픽업하고, 상기 기판을 리쏘 입력/출력 포트(5)를 통해, 예를 들어 리쏘 입력/출력 포트(5)의 제1 및 제2 기판 테이블(16)을 통해 상기 리쏘그래피 투영 장치로 이동시키도록 제어할 수 있다. 리쏘그래피 투영 장치에서 노광 복사선을 이용하여 복사선 개질가능 층을 국부적으로 개질한 후, 기판은 리쏘 입출력 포트(5)를 통해 기판 처리 장치로 다시 이송될 수 있다.
제어기(8)의 메모리(M)의 프로그램은,
기판 핸들러(6)로 하여금 상기 기판을 상기 리쏘 입력/출력(5)을 통해 픽업하고 상기 기판을 선택적 증착 장치(11)로 이동시키고,
선택적 증착 장치(11)로 하여금, 상기 희생 마스킹층을 생성하기 위해 상기 복사선 개질 및 비개질층 부분 중 하나와 반응하고 상기 복사선 개질 및 비개질층 부분 중 다른 하나와 반응하지 않도록 선택된 상기 제1 전구체를 전구체 분배 및 제거 시스템(14)을 이용해 상기 반응 챔버에 제공시키고,
기판 핸들러(6)로 하여금 선택적 증착 장치(11)에서 상기 기판을 픽업하고 이를 팹 입력/출력 포트(2)로 이동시키도록 제어하는 것을 실행할 수 있다.
가열 스테이션(9) 및 냉각 스테이션(10)은, 기판의 베이킹과 냉각 각각을 위해 공정 처리 부분(4)에 제공될 수 있다. 가열 스테이션(9) 및 냉각 스테이션(10)은 기판 핸들러(6)에 의해 기판을 또한 공급받을 수 있다. 기판을 리쏘그래피 투영 장치에 이송하기 전에 가열 및/또는 냉각이 필요할 수 있다.
예를 들어, 복사선 개질 가능층을 갖는 기판을 다른 곳으로부터 얻는 경우, 개질 가능층 증착 장치(7)는 생략될 수 있다. 이러한 경우, 기판 핸들러는 기판을 개질 가능층 증착 장치로 이동시킬 필요가 없을 수 있고, 기판을 개질 가능층 증착 장치로부터 리쏘 입력/출력 포트를 통해 리쏘그래피 투영 장치로 이동시킬 필요가 없을 수 있다.
도 3은 도 2의 기판 처리 장치(1)용 비제한적인 선택적 증착 장치(11)의 예시를 나타낸다. 선택적 증착 장치(11)는, 복사선 개질 가능층(106)을 그 위에 구비한 적어도 하나의 기판(15)을 유지하도록 구성되고 배열된 반응 챔버(12)를 포함할 수 있다.
(제1) 전구체를 이용해 선택적으로 증착하기 위해 사용될 수 있는 반응 챔버(12)는, 원자층 증착(ALD) 공정, 또는 플라즈마 강화 원자층 증착(PEALD), 또는 라디칼 강화 원자층 증착(REALD)용으로 구성된 반응 챔버뿐만 아니라 화학 기상 증착(CVD), 플라즈마 강화 화학 기상 증착(PECVD), 또는 금속 유기 CVD(MOCVD) 공정용으로 구성된 반응 챔버를 포함할 수 있다. 반응 챔버(12)는, 또한 인시츄 방향성 플라즈마 에칭할 수 있거나, 플라즈마 에칭 능력을 위해 설계된 반응 챔버(12b)에 연결될 수 있다. 일부 구현예에 따라, 샤워헤드 반응 챔버가 사용될 수 있다. 일부 구현예에 따라, 교차 흐름, 배치, 미니배치, 침지 또는 공간 ALD 반응기가 사용될 수 있다.
본 개시의 일부 구현예에서, 배치식 반응 챔버가 사용될 수 있다. 일부 구현예에서, 수직형 배치식 반응 챔버가 사용될 수 있다. 다른 구현예에서, 배치식 반응 챔버는 10매 이하의 웨이퍼, 8매 이하의 웨이퍼, 6매 이하의 웨이퍼, 4매 이하의 웨이퍼 또는 2매 이하의 웨이퍼를 수용하도록 구성되는 미니 배치식 반응기를 포함한다.
복사선 개질 가능층(106)이 위에 배치되는, 즉 기판(15)의 상부 표면 상에 배치되는 적어도 하나의 기판(15)을 갖는 기판 홀더(13)가 반응 챔버(12) 내에 배치될 수 있다. 본 개시의 일부 구현예에서 기판(15)은 평면 기판 또는 패터닝된 기판을 포함할 수 있다.
일부 구현예에서, 복사선 개질 가능층의 형성은, 기판 상에 하부 층, 예를 들어 비정질 탄소(sp3/sp2 탄소) 하부층의 증착을 포함한다. 비정질 탄소 하부층은, 예를 들어 탄화수소 함유 전구체, 및 귀가스 플라즈마, 예를 들어 헬륨 플라즈마 또는 아르곤 플라즈마와 같은 플라즈마에 의해 증착될 수 있다. 복사선 개질 가능층은 추가 플라즈마 처리에 의해 하부층의 표면 상에 형성될 수 있다. 일부 구현예에서, 복사선 개질 가능층은 비정질 탄소 하부층 상에 표면 작용기, 예컨대 NH2, Cl, F 및 CH3 작용기를 포함한다. 예시적인 구현예에서, 이러한 기는, 하부층을 플라즈마 처리를 거치게 함으로써 비정질 탄소 하부층의 표면 상에 형성될 수 있고, 여기서 F, Cl, N, 및/또는 H를 포함한 플라즈마가 사용된다. 따라서 형성된 NH2, Cl, F 및/또는 CH3 작용기는, 극자외선(EUV)으로의 노광 시 C-NH2, C-Cl, C-F, 및/또는 C-CH3 결합의 분리에 기인하여 손상될 수 있고, 그 다음 웨이퍼가 산소에 노출되는 경우 C-OH기로 교체될 수 있다. 산소는, 예를 들어 반응기 챔버 내 노출에 의해, 또는 기판을 공기에 노출시킴으로써 제공될 수 있다.
(i) 일부 구현예에서, 복사선 개질 가능층의 형성은, 자기 조립 단층(SAM)의 증착을 포함한다. 이 경우, EUV 복사선은 SAM으로부터 하나 이상의 작용기를 제거하기 위해 사용될 수 있고, 이에 따라 복사선 개질 가능층을 개질시킨다. EUV 복사선에 의해 제거될 수 있는 예시적인 작용기는, NH2, Cl, F, I, Br 및 CH3를 포함한다. 이들 작용기 중 하나 이상을 제거하면, SAM으로 코팅된 기판의 노광 영역의 표면 특성을 대폭 변경시킬 수 있다. 일부 구현예에서, SAM은 침지 모드에서, 즉 기판을 SAM 증기에 노출시킴으로써, 기판 상의 SAM 흡착이 포화에 도달할 때까지 증착될 수 있다. 대안적으로, SAM은 복수의 SAM 전구체 펄스에 의해 증착될 수 있다. 이는 SAM층 조밀화를 개선할 수 있다. 펄스는, 예를 들어 0.1 내지 1.0초, 또는 1.0 내지 2.0초, 또는 2.0 내지 5.0초, 또는 5.0 내지 10.0초, 또는 10.0 내지 20.0초 지속될 수 있다.
일부 구현예에서, 복사선 개질 가능층은 중합체 막을 포함한다. 이러한 중합체 막은, 예를 들어 분자층 증착에 의해 증착될 수 있다. 적합한 막은 폴리이미드, 폴리아미드, 및 폴리우레아 막을 포함한다. 예시적인 중합체 막은 미국 특허 US10343186B2에 개시된 방법에 의해 증착될 수 있으며, 이는 그 전체가 참조로 본원에 포함된다.
기판(15)은, 실리콘(Si), 게르마늄(Ge), 게르마늄주석(GeSn), 실리콘게르마늄(SiGe), 실리콘게르마늄주석(SiGeSn), 실리콘카바이드(SiC), 또는 예를 들어 갈륨아세나이드(GaAs), 갈륨포스파이드(GaP), 또는 갈륨나이트라이드(GaN)와 같은 III-V족 반도체 물질을 포함하나 이에 제한되지 않는 하나 이상의 물질을 포함할 수 있다. 본 개시의 일부 구현예에서, 기판(15)은 엔지니어링된 기판을 포함할 수 있으며, 여기서 표면 반도체층은 그 사이에 배치된 중간 매립 옥사이드(BOX)를 갖는 벌크 지지체 위에 배치된다.
패터닝된 기판은 기판의 표면 내로 또는 표면 위로 형성된 반도체 소자 구조를 포함할 수 있는 기판을 포함할 수 있고, 예를 들어 패터닝된 기판은 트랜지스터 및/또는 메모리 요소와 같이 부분적으로 제조된 반도체 소자 구조를 포함할 수 있다. 일부 구현예에서, 기판은 단결정질 표면 및/또는 하나 이상의 이차 표면을 포함할 수 있고, 상기 이차 표면은 비단결정질 표면, 예를 들어 다결정질 표면 및/또는 비정질 표면을 포함할 수 있다. 단결정질 표면은, 예를 들어, 하나 이상의 실리콘(Si), 실리콘게르마늄(SiGe), 게르마늄주석(GeSn), 게르마늄(Ge)을 포함할 수 있다. 다결정질 또는 비정질 표면은, 예를 들어 실리콘 옥사이드 및 실리콘 나이트라이드와 같은 옥사이드, 옥시나이트라이드 또는 나이트라이드와 같이 유전체 재료를 포함할 수 있다.
본 개시의 일부 구현예에서, 기판(15)은 그 위에 배치된, 즉 기판(15)의 상부 표면 상에 배치된 복사선 개질 가능층(106)을 갖는다. 복사선 개질 가능층(106)은, 복사선에 노광된 후에 (제1) 전구체와 선택적으로 반응하여 복사선 개질 가능층(106) 상에서 선택적으로 마스킹층을 성장시킬 수 있는, 임의의 재료를 포함할 수 있다.
본 개시의 일부 구현예에서, 복사선 개질 가능층(106)은, 예를 들어 포토레지스트, 극자외선(EUV) 레지스트, 침지 포토레지스트, 화학적으로 증폭된 레지스트(CAR), 또는 전자 빔 레지스트(예, 폴리(메틸 메타크릴레이트)(PMMA))와 같은 고분자 레지스트 중 적어도 하나를 포함할 수 있다. 복사선 개질 가능층(106)은 또한 금속, 옥사이드, 자기 조립 단층(SAM) 또는 임의의 다른 재료일 수 있다. 추가적으로 또는 대안적으로, 복사선 개질 가능층(106)은 C, N, H 및/또는 O를 포함할 수 있다. 선택적으로, 복사선 개질 가능층은 C-F 결합, C-Cl 결합, 및/또는 C-NH2 결합을 포함한다. 이들 결합은 EUV 포톤을 이용한 조사 수단에 의해 파괴되고, 이에 따라 국부적으로 변화된 층 특성 결과를 가질 수 있고, 이는 건식 및/또는 습식 에칭 속도에 영향을 미칠 수 있다. 추가적으로 또는 대안적으로, 비노광 영역에 대해 노광 영역 상의 선택적 증착, 예를 들어 영역-선택적인 희생 마스킹층 증착을 허용할 수 있다.
일부 구현예에서, 복사선 개질 가능층은 Sn, Sb, Hf, In, 및 Te로 이루어진 목록으로부터 선택된 하나 이상의 원소를 추가로 포함한다. 추가적으로 또는 대안적으로, Sn, Sb, Hf, In, 및 Te로 이루어진 목록으로부터 선택된 원소는 하부층에 제공될 수 있고, 그 위에 복사선 개질 가능층이 증착된다. 따라서, 일부 구현예에서, 복사선 개질 가능층을 증착하기 위한 공정은, Sn, Sb, Hf, In, 및 Te로 이루어진 목록으로부터 선택된 원소를 포함한 하부층 상에 복사선 개질 가능층을 증착하는 단계를 포함한다. 본 발명이 임의의 이론이나 특정 작동 모드에 구속되지 않는다면, 이들 원소는, EUV 포톤에 대한 그들의 비교적 높은 포획 단면을 통해 EUV 복사선에 대한 상기 개질 가능층의 감도를 개선시킬 수 있는 것으로 여겨진다.
본 개시의 일부 구현예에서, 복사선 개질 가능층(106)은, 예를 들어 스핀-온-글라스(SOG), 및 스핀-온-카본(SOC)과 같은 다공성 물질을 포함하는 다공성 물질, 예를 들어 미세-다공성 및/또는 나노-다공성을 포함할 수 있다. 본 개시의 일부 구현예에서, 복사선 개질 가능층(106)은 하나 이상의 희생 마스킹층 재료를 구비할 수 있고, 이는 보론 카바이드, 비정질 탄소, 실리콘 옥사이드, 실리콘 나이트라이드, 및 실리콘 옥시나이트라이드를 포함하나 이에 제한되지 않는다.
본 개시의 일부 구현예에서, 복사선 개질 가능층(106)은, 패터닝된 복사선 개질 가능 재료, 예컨대 하나 이상의 특징부를 포함한 패터닝된 희생 마스킹층 또는 패터닝된 레지스트를 포함할 수 있다. 특징부는 후속하는 에칭 공정 동안 하부 기판으로 전사될 수 있다. 특징부는 노광 및 이와 연관된 현상 공정에 따라 형성될 수 있는 임의의 기하 구조를 포함할 수 있고, 선 특징부, 블록 특징부, 개방 포어 특징부, 및 원형 특징부를 포함할 수 있지만, 이에 제한되지 않는다.
본 개시의 일부 구현예에서, 복사선 개질 가능층(106)은 평평한 복사선 개질 가능 재료를 포함할 수 있고, 이는 국부적 개질 이후 후속 공정 중에 패터닝될 수 있다. 예를 들어, 복사선 개질 가능층(106)은 평평한 레지스트를 포함할 수 있고, 이는 복사선 개질 가능층(106)의 후속 리쏘그래피 노광 단계 중에 패터닝될 수 있다.
기판(15)은 반응 챔버(12) 내에 배치될 수 있고, 적어도 하나의 기판을 그 위에 보유하도록 구성되는 기판 홀더(13)에 의해 제자리에 유지될 수 있다. 본 개시의 일부 구현예에서, 본원에 개시된 선택적 증착 공정은, 기판(15) 및 이와 연관된 복사선 개질 가능층(106)을 적절한 공정 온도로 가열하는 공정을 이용할 수 있다. 따라서, 기판 홀더(13)는 하나 이상의 가열 요소(110)를 포함할 수 있고, 이는 복사선 개질 가능층(106)이 위에 배치된 기판(15)을 가열하도록 구성될 수 있다.
가열 요소(110)는, 기판(15)을 20 내지 450°C, 바람직하게는 50 내지 150°C, 보다 바람직하게는 60 내지 120°C, 가장 바람직하게는 70 내지 100°C, 예를 들어 85 °C의 온도로 가열하도록 구성될 수 있다. 본 개시의 일부 구현예에서, 선택적 증착 장치(11)는 0.001 내지 1000, 바람직하게는 0.1 내지 500, 및 가장 바람직하게는 1 내지 100 토르로 반응 챔버의 압력을 제어하도록 구성되고 배열된다.
본 개시의 일부 구현예에서, 선택적 증착 장치(11)는 전구체 분배 및 제거 시스템(14)을 포함할 수 있다. 전구체 분배 및 제거 시스템은, 다수의 전구체 증기를 제공하고 연관된 증기를 반응 챔버(12)에 분배하도록 구성되고 배열되는 하나 이상의 전구체 공급원(114A 및 114B)을 추가로 포함할 수 있는 가스 전달 시스템(112)을 포함할 수 있다. 가스 전달 시스템(112)은, 본원에 기술된 예시적인 선택적 증착 공정의 퍼지 사이클에서 활용될 수 있는 퍼지 가스를 저장하고 분배하도록 구성된 공급원 용기(116)를 또한 포함할 수 있다. 가스 전달 시스템(112)은, 본원에 기술된 예시적인 선택적 증착 공정에 활용되기 위해, 반응물을 포함하고 이를 반응 챔버(12)에 디스펜싱하도록 구성되는 반응물 공급원 용기(118)를 또한 포함할 수 있다. 비제한적인 예로서, 선택적 증착 장치(11)는 제1 전구체의 증기를 제공하도록 구성되고 배열되는 제1 전구체 공급원(114A)을 포함할 수 있다. 일부 구현예에서, 제1 전구체 공급원(114A)은 제1 전구체를 기화시키도록 구성되고 배열되는 제1 전구체 기화기를 포함할 수 있다.
일부 구현예에서, 제1 전구체 공급원(114A)은 적절한 작동 조건 하에서 제1 전구체를 저장하고 포함하도록 구성되는 공급원 용기를 포함할 수 있다. 예를 들어, 제1 전구체는 고체 전구체, 액체 전구체, 또는 기상 전구체를 포함할 수 있고, 공급원 용기는 적절한 작동 조건 하에서 고체, 액체, 또는 기상 전구체를 저장하고 포함하도록 구성될 수 있다.
일부 구현예에서, 제1 전구체 공급원은, 제1 전구체를 적절한 작동 온도로 가열함으로써 제1 전구체의 일부를 제어 가능하게 기화시킬 수 있는 하나 이상의 제어 가능한 가열 요소를 포함할 수 있는 제1 전구체 기화기를 포함할 수 있으며, 후속으로 기화된 증기는 복사선 개질 가능층 상에 선택적으로 증착하기 위한 적절한 수단을 통해 반응 챔버(12)로 분배된다. 일부 구현예에서, 제1 전구체 공급원(114A)과 관련된 하나 이상의 가열 요소는 제1 전구체의 증기압을 제어하도록 구성될 수 있다. 또한, 예를 들어 질량 흐름 제어기(MFC)와 같은 흐름 제어기(120A)는 제1 전구체 공급원(114A)과 더 연관될 수 있고, 예를 들어 제1 전구체 기화기와 같은 제1 전구체 공급원(114A)으로부터 생성된 증기의 질량 흐름을 제어하도록 구성될 수 있다. 흐름 제어기(120A) 이외에, 밸브(122A), 예를 들어 차단 밸브가 제1 전구체 공급원(114A)과 연관될 수 있고, 반응 챔버(12)로부터 제1 전구체 공급원(114A)을 분리하는 데 사용될 수 있고, 즉 밸브(122A)가 폐쇄 위치에 있는 경우, 제1 전구체 공급원(114A)에 의해 생성된 증기는 반응 챔버(12)로 유입되는 것이 방지될 수 있다.
추가적인 구현예에서, 제1 전구체 공급원(114A)은 캐리어 가스 입력부(미도시)를 추가로 포함할 수 있어서, 캐리어 가스(예, 질소)가 제1 전구체를 통해 통과하거나 버블링되어 제1 전구체가 캐리어 가스에 혼입될 수 있도록 하고, 후속하여 캐리어 가스/제1 전구체 증기는 적절한 수단에 의해 반응 챔버(12)로 전달될 수 있다.
본 개시의 일부 구현예에서, 선택적 증착 장치(11)(도 3)는, 반응 챔버(12)에 제1 전구체 공급원(114A)으로부터의 제1 전구체 증기를 제공하고 반응 챔버(12)로부터 제1 전구체 증기를 제거하기 위해 구성되고 배열되는 전구체 분배 및 제거 시스템(14)을 포함할 수 있다.
본 개시의 일부 구현예에서, 선택적 증착 장치(11)는, 금속 또는 반도체, 예를 들어 IV족 반도체를 포함한 원소를 포함하는 제1 전구체 공급원(114A)으로부터의 제1 전구체의 증기를 반응 챔버(12)에 제공하도록 구성되고 배열되는 전구체 분배 및 제거 시스템(14)을 포함할 수 있다. 금속 또는 반도체와 같은 원소는, 반응 챔버(12) 내에 알루미늄(Al), 하프늄(Hf), 갈륨(Ga), 게르마늄(Ge), 실리콘(Si), 지르코늄(Zr), 인듐(In), 리튬(Li), 텔루륨(Te), 안티몬(Sb), 티타늄(Ti), 탄탈륨(Ta) 및 주석(Sn)을 포함하는 군으로부터 선택될 수 있다.
본 개시의 일부 구현예에서, 선택적 증착 장치(11)는 반응 챔버(12)에서 알킬아미드 전구체, 예를 들어 금속 알킬아미드 전구체 또는 IV족 반도체 알킬아미드 전구체를 포함한 전구체를 제공하도록 구성되고 배열되는 전구체 분배 및 제거 시스템(14)을 포함할 수 있다.
본 개시의 일부 구현예에서, 선택적 증착 장치(11)는, 트리메틸알루미늄(TMA), 트리에틸알루미늄(TEA), 및 디메틸알루미늄하이드라이드(DMAH)를 포함하는 군으로부터 선택된 전구체를 제공하도록 구성되고 배열되는 전구체 분배 및 제거 시스템(14)을 포함할 수 있다. 이에 따라, 선택적 증착 장치는, 예를 들어 레지스트와 같은 개질 및 비개질층의 하나 안에 또는 그 위에 알루미늄과 같은 금속을 선택적으로 제공할 수 있다.
본 개시의 일부 구현예에서, 선택적 증착 장치(11)는, 반응 챔버(12) 내의 금속 할라이드 또는 IV족 반도체의 할라이드를 포함한 제1 전구체 공급원(114)으로부터의 제1 전구체의 증기를 반응 챔버(12)에 제공하도록 구성되고 배열되는 전구체 분배 및 제거 시스템(14)을 포함할 수 있다.
본 개시의 일부 구현예에서, 선택적 증착 장치(11)의 전구체 분배 및 제거 시스템(14)은, SnI4 또는 SnCl4를 포함한 전구체를 반응 챔버에 제공하도록 구성되고 배열된다. 본 개시의 일부 구현예에서, 예시적인 선택적 증착 장치(11)는, 테트라에틸주석, 테트라메틸주석 또는 주석아세틸아세토네이트를 포함하는 군으로부터 선택된 전구체를 반응 챔버에 제공하도록 구성되고 배열되는 전구체 분배 및 제거 시스템을 포함할 수 있다. 이에 따라, 선택적 증착 장치(11)는, 예를 들어 레지스트와 같은 개질 및 비개질층의 하나 안에 또는 그 위에 주석과 같은 금속을 선택적으로 제공할 수 있다.
본 개시의 일부 구현예에서, 예시적인 선택적인 증착 장치(11)는, 반응 챔버 내에 금속 또는 IV족 반도체, 예를 들어 마그네슘 및/또는 칼슘을 포함한 제1 전구체 공급원(114)으로부터의 제1 전구체 증기를 반응 챔버(12)에 제공하도록 구성되고 배열되는 전구체 분배 및 제거 시스템(14)을 포함할 수 있다.
일부 구현예에서, 선택적 증착 장치(11)는, 예를 들어 레지스트와 같은 개질 및 비개질층 부분 중 하나 안에 또는 그 위에 실리콘을 선택적으로 증착하도록 구성되고 배열될 수 있다.
일부 구현예에서, 제1 전구체 공급원(114A)은 아미노실란의 증기를 제공하도록 구성되고 배열될 수 있다.
보다 상세하게, 전구체 분배 시스템은, 가스 전달 시스템(112), 및 예를 들어 제1 전구체 공급원(114A)과 유체 연통하는 가스 라인(124), 제2 전구체 공급원(114B)과 유체 연통하는 가스 라인(126), 공급원 용기(116)와 유체 연통하는 가스 라인(128), 및 반응물 공급원 용기(118)와 유체 연통하는 가스 라인(130)과 같이 하나 이상의 가스 라인을 포함할 수 있다. 비제한적인 예로서, 가스 라인(124)은 제1 전구체 공급원(114A)에 유체 연결되고, 제1 전구체의 증기를 반응 챔버(12)로 운반하도록 구성될 수 있다.
전구체 분배 시스템은, 제1 전구체의 증기를 반응 챔버(12) 내로, 및 복사선 개질 가능층(106)이 위에 배치된 기판(15) 위에 디스펜싱하도록 구성되는 가스 디스펜서(132)를 추가로 포함할 수 있고, 가스 디스펜서(132)는 가스 라인(126, 128, 130)과 유체 연통하는 것에 더하여 가스 라인(124)과 유체 연통한다.
비제한적인 예로서, 가스 디스펜서(132)는 도 3에서 블록 형태로 나타낸 바와 같이 샤워헤드를 포함할 수 있다. 샤워 헤드가 블록 형태로 나타나 있지만, 샤워헤드는 비교적 복잡한 구조일 수 있는 점에 주목해야 한다. 대안적인 구현예에서, 샤워헤드는 샤워헤드 내로 도입된 다수의 증기의 분리를 유지하도록 구성될 수 있으며, 다수의 증기는 반응 챔버(12) 내에 배치된 기판(15)의 부근에서 서로 오직 접촉하게 된다. 또한, 샤워헤드는 반응 챔버(12)로 가스의 수직 또는 수평 유동을 제공하도록 구성될 수 있다. 예시적인 가스 분배 시스템은, 미국 특허 제8,152,922호에 기술되어 있으며, 그 내용이 본 개시와 충돌하지 않는 정도로 본원에 참고로 내용이 포함된다.
도 3에 나타낸 바와 같이, 전구체 분배 시스템은, 가스 전달 시스템(112), 적어도 가스 라인(124, 126, 128 및 130), 및 가스 분배기(132)를 포함할 수 있지만, 전구체 분배 시스템은, 예를 들어 추가 가스 라인, 밸브, 액추에이터, 밀봉부, 및 가열 요소와 같이 도 3에 나타내지 않은 추가 구성 요소를 포함할 수 있음에 유의해야 한다.
전구체 분배 시스템 이외에, 선택적 증착 장치(11)의 전구체 분배 및 제거 시스템(14)은 반응 챔버(12)로부터 가스를 제거하도록 구성되고 배열되는 제거 시스템을 또한 포함할 수 있다. 일부 구현예에서, 제거 시스템은 반응 챔버(12)의 벽 내에 배치된 배기 포트(134), 배기 포트(134)와 유체 연통하는 배기 라인(136), 및 배기 라인(136)과 유체 연통하고 반응 챔버(12) 내부로부터 가스를 배기하도록 구성된 진공 펌프(138)를 포함할 수 있다. 일단 가스(들)가 진공 펌프(138)를 이용하여 반응 챔버(12)로부터 배기되었다면, 가스는 추가적인 배기 라인(140)을 따라 운반될 수 있고 추가적인 제거 공정을 거칠 수 있는 추가 스테이션(11)을 빠져나갈 수 있다.
반응 챔버(12) 내부로부터 전구체 가스, 즉 반응성 증기의 제거에 더 도움을 주기 위해, 제거 시스템은 가스 라인(128)을 통해 가스 분배기(132)에 유체 연결되는 공급원 용기(116)를 추가로 포함할 수 있다. 예를 들어, 공급원 용기(116)는, 예를 들어 아르곤(Ar), 질소(N2), 또는 헬륨(He)과 같은 퍼지 가스를 함유하고 저장할 수 있다. 공급원 용기(116)와 연관된 흐름 제어기(120C) 및 밸브(122C)는 흐름 및 특히 가스 라인(128)을 통해 가스 분배기(132) 및 반응 챔버(12) 내로 전달되는 퍼지 가스의 질량 흐름을 제어할 수 있되, 퍼지 가스는 반응 챔버(12)로부터의 기상 전구체 가스, 불활성 가스 및 부산물 그리고 특히 개질 가능층(106)의 노광 표면으로부터의 퍼지 전구체 가스 및 미반응 부산물을 제거하는 데 도움을 줄 수 있다. 퍼지 가스(및 임의의 연관된 전구체 및 부산물)는 진공 펌프(138)의 활용을 통해 배기 포트(134)를 통해 반응 챔버(12)를 빠져나갈 수 있다.
본 개시의 일부 구현예에서, 선택적 증착 장치(11)는, 상기 전구체 분배 및 제거 시스템에 작동 가능하게 연결되고, 작동되는 경우에 상기 복사선 개질 및 비개질층 중 하나에 또는 그 안에 선택적 증착을 실행하는 프로그램을 구비한 메모리(144)를 포함하는 순차 제어기(142)를 추가로 포함할 수 있다.
순차 제어기
보다 상세하게, 예시적인 선택적 증착 장치(11)는, 제어 라인(144A, 144B, 144C)을 또한 포함할 수 있는 순차 제어기(142)를 포함할 수 있되, 제어 라인은 선택적 증착 장치(11)의 다양한 시스템 및/또는 구성 요소를 순차 제어기(142)에 인터페이싱할 수 있다. 예를 들어, 제어 라인(144A)은 순차 제어기(142)를 가스 전달 시스템(112)으로 인터페이싱함으로써 가스 라인(124, 126, 128 및 130)뿐만 아니라 가스 분배기(132)를 포함하는 전구체 분배 시스템에 제어를 제공할 수 있다. 제어 라인(144B)은 순차 제어기(142)를 반응 챔버(12)와 인터페이싱함으로써 공정 압력 및 서셉터 테이블(13) 온도를 포함하나 이에 제한되지 않는 반응 챔버의 작동에 대한 제어를 제공할 수 있다. 제어 라인(144C)은 순차 제어기(142)를 진공 펌프(138)와 인터페이싱함으로써 가스 제거 시스템에 대한 작동 및 제어가 순차 제어기(142)에 의해 제공될 수 있다. 순차 제어기(142)는 (도 2의) 제어 시스템(8)에 작동 가능하게 연결될 수 있다.
도 3에 나타낸 바와 같이, 순차 제어기(142)는 세 개의 제어 라인(144A, 144B, 144C)을 포함하나, 다수의 제어 라인, 즉 전기적 및/또는 광학적으로 연결된 제어 라인은 선택적 증착 장치(11)를 포함한 요구 시스템과 구성 요소를 순차 제어기(142)와 인터페이싱함으로써, 상기 장치에 대한 전반적인 제어를 제공할 수 있음을 주목해야 한다.
본 개시의 일부 구현예에서, 순차 제어기(142)는 예시적인 선택적 증착 장치(11)에 포함된 밸브, 히터, 흐름 제어기, 매니폴드, 펌프 및 기타 장비를 선택적으로 조작하기 위한 전자 회로를 포함할 수 있다. 이러한 회로 및 구성 요소는 전구체 공급원(114A, 114B), 반응물 공급원 용기(118), 및 퍼지 가스 공급원 용기(116)으로부터 전구체 가스와 퍼지 가스를 각각 도입하도록 작동한다. 순차 제어기(142)는 또한 전구체 펄스 순서의 시점, 기판과 반응 챔버(12)의 온도, 반응 챔버의 압력, 및 선택적 증착 장치(11)의 적절한 작동을 제공하는 데 필요한 기타 다양한 작동을 제어한다. 일부 구현예에서, 순차 제어기(142)는 반응 챔버(12) 내부 및 외부로의 전구체 및 퍼지 가스의 흐름을 제어하기 위한 제어 소프트웨어, 및 전기식 또는 유압식 제어 밸브를 포함할 수 있다. 본 개시의 일부 구현예에서, 순차 제어기(142)는, 순차 제어기 상에서 실행되는 경우에 복사선 개질 가능층 위에 또는 그 안에 선택적 증착을 실행하는 프로그램을 구비한 메모리(144)를 포함할 수 있다. 예를 들어, 순차 제어기(142)는 예를 들어, 특정 선택적 증착 공정을 수행하는 FPGA 또는 ASIC과 같은 소프트웨어 또는 하드웨어 구성 요소와 같은 모듈을 포함할 수 있다. 모듈은 순차 제어기(142)의 어드레스 가능한 저장 매체에 탑재되어 하나 이상의 선택적 증착 공정을 실행하도록 구성될 수 있다.
본 개시의 일부 구현예에서, 순차 제어기(142)의 메모리(144)는, 반응 챔버(12) 내의 기판(15) 상의 복사선 개질 가능층(106)에 전구체 증기를 제공하도록 전구체 분배 시스템 및 제거 시스템을 활성화시킴으로써, 희생 마스킹층을 생성하기 위해 반응 챔버에서 개질 및 비개질층 부분 중 하나와 반응하고 개질 및 비개질층 부분 중 다른 하나와 반응하지 않도록 선택된 전구체를 제공하는 것을 실행하는 프로그램을 구비할 수 있고, 이에 의해 전구체는 기판(15) 상의 복사선 개질 가능층(106)의 개질 및 비개질층 부분 중 하나와 선택적으로 반응한다.
본 개시의 일부 구현예에서, 예시적인 선택적 증착 장치(11)는, 예를 들어 제2 전구체 기화기와 같은 제2 전구체 공급원(114B)을 포함할 수 있다. 보다 상세하게, 제2 전구체 공급원(114B)은 제2 전구체의 증기를 제공하도록 구성되고 배열될 수 있다. 예를 들어, 제2 전구체 공급원(114B)은 제2 전구체를 기화시키도록 구성되고 배열될 수 있는 제2 전구체 기화기를 포함할 수 있다. 일부 구현예에서, 제2 전구체 공급원(114B)은 제1 전구체 공급원(114A)과 동일하거나 실질적으로 동일할 수 있고, 따라서 제2 전구체 공급원(114B)에 관한 세부 사항은 간결함을 위해 생략된다.
일부 구현예에서, 전구체 분배 시스템 및 제거 시스템(14)은 제2 전구체 공급원(114B)으로부터의 제2 전구체의 증기를 반응 챔버(12)에 제공하도록 구성되고 배열될 수 있다. 예를 들어, 가스 라인(126)은 흐름 제어기(120B) 및 밸브(122B)를 통해 제2 전구체 공급원(114B)에 유체 연결될 수 있고, 제2 전구체의 증기를 제2 전구체 공급원(114B)에서 가스 분배기(132)로 그리고 이어서 반응 챔버(12)로 전달할 수 있다. 일부 구현예에서, 메모리(144)의 프로그램은, 순차 제어기(142) 상에서 실행되는 경우에 반응 챔버(12)에 제2 전구체 증기를 제공하도록 전구체 분배 시스템 및 제거 시스템을 활성화시킴으로써, 복사선 개질 가능층(106) 위 또는 그 안에 선택적 증착을 수행하도록 프로그래밍될 수 있고, 이에 의해 제2 전구체는, 기판(15) 상에 희생 마스킹층을 생성하기 위해 반응 챔버에서 개질 및 비개질층 부분(106) 중 하나와 반응하고 개질 및 비개질층 부분 중 다른 하나와 미반응할 수 있다.
본 개시의 일부 구현예에서, 메모리(144)의 프로그램은, 순차 제어기(142) 상에서 실행되는 경우에 제1 전구체 이후 제2 전구체를 제공하도록 전구체 분배 시스템 및 제거 시스템을 활성화시킴으로써, 복사선 개질 가능층(106) 상에 선택적 증착을 수행하도록 프로그래밍될 수 있고, 즉 제1 전구체 공급원(114A)은 제1 전구체 증기를 반응 챔버(12)에 제공하고 제1 전구체로 복사선 개질 가능층(106) 위에 또는 그 안에 재료를 선택적으로 증착할 수 있고, 후속으로 제2 전구체 공급원(114B)은 제2 전구체 증기를 반응 챔버(12)에 제공하고 제2 전구체로 복사선 개질 가능층(106) 위에 또는 그 안에 재료를 선택적으로 증착할 수 있다. 메모리(144)에 저장된 프로그램의 선택적 증착 사이클은, 순차 제어기(142) 상에 실행되는 경우에 복사선 개질 가능층(106) 위 또는 그 안에 선택적 증착을 수행하기 위해, 제2 전구체 증기를 제공한 제3 기간보다 더 긴 제1 전구체 증기를 제공한 제1 기간을 가질 수 있다.
일부 구현예에서, 순차 제어기(142)는, 메모리(144) 상에 프로그램을 실행하여 전구체 분배 시스템 및 제거 시스템을 활성화시켜 제2 전구체 이후 제1 전구체를 제공할 수 있고, 즉 제2 전구체 공급원(114B)은 복사선 개질 가능층(106) 상에 선택적으로 증착하기 위해 제2 전구체 증기를 반응 챔버(12)에 제공할 수 있고, 후속으로 제1 전구체 공급원(114A)은 복사선 개질 가능층(106) 상에 제1 전구체 증기로 선택적으로 증착하기 위해 제1 전구체 증기를 반응 챔버(12)에 제공할 수 있다.
본 개시의 일부 구현예에서, 메모리(144)에 저장된 프로그램은, 순차 제어기(142) 상에서 실행되는 경우에 전구체 분배 시스템 및 제거 시스템을 활성화시켜 제1 전구체를 반응 챔버(12)에 제공하고 그 다음 반응 챔버로부터 과잉의 제1 전구체 및 부산물을 제거하기 위한 퍼지 사이클, 그리고 후속으로 제2 전구체를 반응 챔버에 제공하고 그 다음 반응 챔버로부터 과잉의 제2 전구체 및 부산물을 제거함으로써, 복사선 개질 가능층(106) 상에 선택적 증착을 수행하도록 프로그래밍될 수 있다.
보다 상세하게는, 순차 제어기(142)의 메모리(144) 내 프로그램은, 먼저 제1 전구체 공급원(114A)을 활성화하고 제1 전구체의 증기를 반응 챔버(12)에 제공하여 복사선 개질 가능층(106) 위 또는 그 안에 선택적으로 증착할 수 있고, 후속으로 제1 전구체 공급원(114A)은 비활성화될 수 있고, 제1 전구체 공급원(114A)과 반응 챔버(12) 사이의 반응 챔버(12)에 대한 유체 연결은, 예를 들어 제1 전구체 공급원(114A)과 연관된 밸브(122A)에 의해 결합 해제될 수 있다. 일단 제1 전구체 공급원(114A)이 비활성화되고 반응 챔버(12)로부터 결합 해제되면, 순차 제어기(142)의 메모리(144)에 장착된 프로그램은 진공 펌프(138)와 결합되거나 계속해서 결합되어 제1 전구체 및 임의의 부산물의 과잉 증기를 반응 챔버(12)로부터 배기할 수 있다. 추가 구현예에서, 제1 전구체 및 임의의 부산물의 과잉 증기를 반응 챔버(12)로부터 배기하기 위해 진공 펌프(138)를 활용하는 것 이외에, 순차 제어기(142)의 메모리(144)에 장착된 프로그램은, 예를 들어 공급원 용기(116)와 관련된 밸브(122C)를 개방함으로써 퍼지 가스 공급원을 포함하는 공급원 용기(116)를 활성화시킬 수 있다. 퍼지 가스는 가스 라인(128)을 통해 가스 분배기(132)를 경유해서 반응 챔버(12)로 흐를 수 있고, 반응 챔버(12)를 퍼지하고, 특히 기판(15) 상에 배치된 개질 가능층(106)을 퍼지할 수 있다. 순차 제어기(142)의 메모리(144)에 장착된 프로그램은 후속하여 반응 챔버(12)를 통해 퍼지 가스의 흐름을 비활성화시키고, 후속하여 제2 전구체 공급원(114B)을 활성화시킴으로써 제2 전구체의 증기를 반응 챔버(12)에 제공하고, 특히 제2 증기 공급원(114B)에 의해 제공되는 제2 전구체 증기로 복사선 개질 가능층(106) 상에 선택적으로 증착될 수 있다. 순차 제어기(142)의 메모리(144)에 장착된 프로그램은 후속하여 반응 챔버(12)로 제2 전구체의 증기의 흐름을 비활성화시키고, 후속하여 공급원 용기(116)를 활성화시켜 반응 챔버를 다시 퍼지하고, 예를 들어 제2 전구체의 과잉 증기를 제거한다.
본 개시의 일부 구현예에서, 메모리(144)에 저장된 프로그램은, 순차 제어기(142) 상에서 실행되는 경우에 전구체 분배 시스템 및 제거 시스템을 활성화시켜 제2 전구체를 반응 챔버에 제공하고 그 다음 반응 챔버로부터 과잉의 제2 전구체 증기 및 부산물을 제거하기 위한 퍼지 사이클, 후속으로 제1 전구체를 반응 챔버에 제공하고 그 다음 반응 챔버로부터 과잉의 제1 전구체 증기 및 부산물을 제거함으로써, 복사선 개질 가능층(106) 위 또는 그 안에 선택적 증착을 수행하도록 프로그래밍될 수 있다.
본 개시의 추가적인 구현예에서, 제1 전구체 공급원(114A) 및 제2 전구체 공급원(114B)에 더하여, 예시적인 추가 스테이션(11)은 반응물 공급원 용기(118) 및 반응물 공급 라인, 즉 반응 챔버(12)에 산소 전구체를 포함하는 반응물을 제공하도록 구성되고 배열된 가스 라인(130)을 추가로 포함할 수 있다.
본 개시의 일부 구현예에서, 반응물 공급원 용기(118)는 고상, 액상, 또는 기상으로 반응물을 포함할 수 있다. 일부 구현예에서, 반응물 공급원 용기(118)는 반응물 기화기를 포함할 수 있고, 즉 하나 이상의 가열 요소는 반응물 공급원 용기와 연관될 수 있어서 반응물의 기화를 가능하게 함으로써 반응 챔버(12)에 산소 전구체를 포함하는, 기화된 반응물을 제공할 수 있다.
일부 구현예에서, 반응 챔버로 산소 전구체를 포함하는 증기 반응물의 흐름의 제어는, 반응물 공급원 용기(118)와 이와 연관된 밸브(122D)와 흐름 제어기(120D)의 사용을 통해 달성될 수 있다. 반응물 공급원 용기(118)가 반응물 기화기를 추가로 포함하는 본 개시의 일부 구현예에서, 반응물 기화기는 산소 전구체를 포함하는 반응물로서 물(H2O), 또는 과산화수소(H2O2) 중 적어도 하나를 기화시키도록 구성되고 배열될 수 있다.
본 개시의 일부 구현예에서, 반응물 공급원 용기(118)는 반응물 공급 라인(130) 및 가스 분배기(132)를 통해 산소 기상 전구체를 반응 챔버(12)에 저장 및 디스펜싱할 수 있다. 일부 구현예에서, 산소 기상 전구체는 오존(O3), 또는 산소 분자(O2) 중 적어도 하나를 포함할 수 있다.
본 개시의 일부 구현예에서, 예시적인 선택적 증착 장치(11)는 플라즈마 생성기(146)를 선택적으로 추가 포함할 수 있다. 플라즈마 생성기(146)는 산소 기상 전구체로부터 플라즈마를 발생시키도록 구성되고 배열될 수 있음으로써, 반응 챔버(12)에 산소 원자, 산소 이온, 산소 라디칼, 및 산소 여기종 중 하나 이상을 제공하고, 이에 의해 플라즈마 생성기(146)에 의해 생성된 산소계 플라즈마는 기판(15) 위에 배치된 층(106)과 반응할 수 있다.
일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다. 일부 구현예에서, 산소 전구체 그 다음 제1 전구체 증기를 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
본 개시의 일부 구현예에서, 메모리(144) 내의 프로그램은, 순차 제어기(142) 상에서 실행되는 경우, 전구체 분배 시스템 및 제거 시스템을 활성화시켜 제1 전구체, 이어서 상기 반응물, 이어서 제2 전구체, 이어서 반응물을 제공함으로써, 층(106) 위 또는 그 안에서 선택적 증착을 실행하도록 프로그래밍된다.
본 개시의 일부 구현예에서, 메모리(144) 내의 프로그램은, 순차 제어기(142) 상에서 실행되는 경우, 전구체 분배 시스템 및 제거 시스템(14)을 활성화시켜 제1 전구체, 이어서 상기 반응물, 이어서 제2 전구체, 이어서 반응물을 제공하는 단계를 여러 회 반복함으로써, 층(106) 위 또는 그 안에 선택적 증착을 실행하도록 프로그래밍될 수 있다.
본 개시의 일부 구현예에서, 메모리(144) 내의 프로그램은, 순차 제어기(142) 상에서 실행되는 경우, 전구체 분배 시스템 및 제거 시스템을 활성화시켜 제1 전구체, 이어서 상기 반응물, 이어서 제2 전구체, 이어서 반응물을 제공하는 각각의 단계 사이에서 반응 챔버로부터 전구체 및/또는 반응물을 제거함으로써, 층(106) 위 또는 그 안에 선택적 증착을 실행하도록 프로그래밍될 수 있다.
본 개시의 일부 구현예에서, 메모리(144) 내의 프로그램은, 순차 제어기(142) 상에서 실행되는 경우, 전구체 분배 시스템 및 제거 시스템을 활성화시켜 제1 전구체를 제공하고, 이어서 제2 전구체를 제공하고, 이어서 반응물을 제공함으로써, 층(106) 위 또는 그 안에 선택적 증착을 실행하도록 프로그래밍될 수 있다. 일부 구현예에서, 제1 전구체를 제공하는 단계, 이어서 제2 전구체를 제공하는 단계, 및 이어서 반응물을 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
본 개시의 일부 구현예에서, 메모리(144) 내의 프로그램은, 순차 제어기(142) 상에서 실행되는 경우, 전구체 분배 시스템 및 제거 시스템을 활성화시켜 제2 전구체를 제공하고, 이어서 제1 전구체를 제공하고, 이어서 반응물을 제공함으로써, 층(106) 위 또는 그 안에 선택적 증착을 실행하도록 프로그래밍될 수 있다. 일부 구현예에서, 제2 전구체를 제공하는 단계, 이어서 제1 전구체를 제공하는 단계, 및 이어서 반응물을 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
본 개시의 일부 구현예에서, 메모리(144) 내의 프로그램은, 순차 제어기(142) 상에서 실행되는 경우, 전구체 분배 시스템 및 제거 시스템을 활성화시켜 제1 전구체를 제공하고, 이어서 반응물을 제공하고, 이어서 제2 전구체를 제공함으로써, 층(106) 위 또는 그 안에 선택적 증착을 실행하도록 프로그래밍될 수 있다. 일부 구현예에서, 제1 전구체를 제공하는 단계, 이어서 반응물을 제공하는 단계, 및 이어서 제2 전구체를 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
본 개시의 일부 구현예에서, 메모리(144) 내의 프로그램은, 순차 제어기(142) 상에서 실행되는 경우, 전구체 분배 시스템 및 제거 시스템을 활성화시켜 반응물을 제공하고, 이어서 제1 전구체를 제공하고, 이어서 제2 전구체를 제공하고, 이어서 반응물을 제공함으로써, 층(106) 위 또는 그 안에 선택적 증착을 실행하도록 프로그래밍될 수 있다. 일부 구현예에서, 반응물을 제공하는 단계, 이어서 제1 전구체를 제공하는 단계, 이어서 제2 전구체를 제공하는 단계, 및 이어서 반응물을 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
본 개시의 일부 구현예에서, 메모리(144) 내의 프로그램은, 순차 제어기(142) 상에서 실행되는 경우, 전구체 분배 시스템 및 제거 시스템을 활성화시켜 반응물을 제공하고, 이어서 제1 전구체를 제공하고, 이어서 반응물을 제공하고, 이어서 제2 전구체를 제공함으로써, 층(106) 위 또는 그 안에 선택적 증착을 실행하도록 프로그래밍될 수 있다. 일부 구현예에서, 반응물을 제공하는 단계, 이어서 제1 전구체를 제공하는 단계, 이어서 반응물을 제공하는 단계, 및 이어서 제2 전구체를 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
도 4는 본 개시의 일 구현예에 따라 레지스트 없는 패터닝 방법을 나타낸다. 패널 1)은 기판(430) 상에 증착된 복사선 개질 가능층(410)을 나타낸다. 나타낸 바와 같이, 선택적인 하부층(420)이 기판(430)과 복사선 개질 가능층(410) 사이에 제공될 수 있다. 일부 구현예에서, 복사선 개질 가능층(410)은 하부층(420)의 표면으로 구성될 수 있다. 다른 구현예에서, 복사선 개질 가능층(410)이 기판 상에 직접 증착될 수 있고, 따라서 하부층(420)에 대한 필요성이 없음을 이해해야 한다. 패널 2)는 복사선 개질 가능층(410)이 극자외선(EUV)에 노광될 수 있는 방법을 보여주고, 이에 따라 복수의 복사선 개질층 부분(411) 및 복수의 비개질층 부분(412)을 생성할 수 있다. 이어서, 희생 마스킹층은, 패널 3a)에 나타낸 바와 같이 복사선 개질층 부분(411) 상에, 또는 패널 3b)에 나타낸 바와 같이 비개질층 부분(412) 상에 선택적으로 증착될 수 있다. 후속하는 에칭 단계는 패널(4a 및 4b)에 나타낸 바와 같이, 오목부(450)의 형성을 초래한다. 특히, 패널 4a)은, 희생 마스킹층(440)이 복사선 개질층 부분(411) 상에 증착되었을 경우, 오목부(450)가 비개질층 부분(412) 아래에 에칭되는 방법을 나타낸다. 패널 4b)는, 희생 마스킹층(440)이 비개질층 부분(412) 상에 증착되는 경우, 오목부(450)가 복사선 개질층 부분(411) 아래에 에칭되는 방법을 나타낸다. 선택적으로, 희생 마스킹층 증착 단계 및 에칭 단계는 하나 및 동일한 반응 챔버에서 수행될 수 있다. 선택적으로, 임의의 희생 마스킹층 제거 단계가 동일한 반응 챔버에서도 수행될 수 있다.
도 5는 본 개시의 일 구현예에 따라 레지스트 없는 패터닝 방법을 나타낸다. 패널 1)은 기판(430) 상에 증착된 복사선 개질 가능층(410)을 나타낸다. 복사선 개질 가능층(410)은 C, N, H 및/또는 O를 포함한 유기 화합물을 포함할 수 있고, 극자외선(EUV) 포톤과 같은 포톤에 의해 국부적으로 개질될 수 있다. 이는 습식 또는 건식 에칭 공정을 향한 에칭 속도의 국부적인 변화 결과를 가질 수 있다.
나타낸 바와 같이, 선택적인 하부층(420)이 기판(430)과 복사선 개질 가능층(410) 사이에 제공될 수 있다. 일부 구현예에서, 복사선 개질 가능층(410)은 하부층(420)의 표면으로 구성될 수 있다. 다른 구현예에서, 복사선 개질 가능층(410)이 기판 상에 직접 증착될 수 있고, 따라서 하부층(420)에 대한 필요성이 없음을 이해해야 한다. 패널 2)는 복사선 개질 가능층(410)이 극자외선(EUV)에 노광될 수 있는 방법을 보여주고, 이에 따라 복수의 복사선 개질층 부분(411) 및 복수의 비개질층 부분(412)을 생성할 수 있다. 패널 3)은, 어떻게 비개질층 부분(412)이 층 제거 단계에서 제거되는 동안, 복사선 개질층 부분(411)은 제자리에 남는지 보여준다. 대안적인 구현예(미도시)에서, 복사선 개질층 부분은 층 제거 단계에 의해 영향을 받지 않고, 따라서 제자리에 유지되는 반면, 복사선 개질층 부분(411)은 층 제거 단계 동안 제거된다.
패널 3)의 에칭 단계 이후에, 희생 마스킹층을 선택적으로 증착할 수 있다. 희생 마스킹층은, 예를 들어 패널 4a)에 나타낸 바와 같이 복사선 개질층 부분(411) 상에 또는 패널 4b)에 나타낸 바와 같이 노광된 하부층 상에 증착될 수 있다. 하부층이 존재하지 않는 경우, 희생 마스킹층은 기판(430) 상에 직접 증착될 수 있다(구현예 미도시). 복사선 개질층 부분이 제거되고 비개질층 부분이 층 제거 단계 동안 제자리에 남는 경우, 희생 마스킹층은 비개질층 부분 상에 증착될 수 있다(구현예 미도시).
그런 다음 희생 마스킹층 증착은, 예를 들어 패널(5a 및 5b)에 나타낸 바와 같이 희생 마스킹층이 증착되지 않은 영역에서 오목부(450)의 형성을 초래하는 에칭 단계가 뒤따를 수 있다. 특히, 패널 5a)는, 희생 마스킹층(440)이 복사선 개질층 부분(411) 상에 증착되었을 경우, 오목부(450)가 비개질층 부분(412)의 위치에서 에칭되는 방법을 나타낸다. 패널 5b)는, 희생 마스킹층(440)이 하부층(420) 상에 증착되었을 경우, 오목부(450)가 복사선 개질층 부분(411)의 위치에서 에칭되는 방법을 나타낸다. 물론, 동일한 원리가 다른 구현예에 적용된다: 희생 마스킹층이 증착되지 않은 영역은 에칭 단계 동안 에칭되지 않고, 희생 마스킹층이 증착된 영역은 에칭 단계 동안 에칭되지 않거나 실질적으로 에칭되지 않는다. 원하는 경우, 희생 마스킹층은 에칭 단계 후에 제거될 수 있다. 선택적으로, 희생 마스킹층 증착 단계 및 에칭 단계는 하나 및 동일한 반응 챔버에서 수행될 수 있다. 선택적으로, 임의의 희생 마스킹층 제거 단계가 동일한 반응 챔버에서도 수행될 수 있다.
적절한 복사선 개질 가능층(410)은 비정질 탄소층, 예를 들어 플라즈마 강화 원자층 증착(PEALD) 또는 플라즈마 강화 화학 기상 증착(PECVD)에 의해 증착된 비정질 탄소층을 포함한다. 비정질 탄소층은 sp2 및 sp3 탄소를 포함할 수 있다. 즉, 비정질 탄소 층은 C-C, C=C, 및 C-H 결합을 포함할 수 있다. 또한, 비정질 탄소는 C-N 및/또는 C-O 결합을 함유할 수 있다. 비정질 탄소층의 영역이 EUV 광에 노광되는 경우, C=C, C-H, C-N, 및/또는 C-O 결합은 끊길 수 있다. 본 발명이 임의의 특정 이론이나 작동 모드에 구속되지 않는다면, 최종 손상은, 노광된 비정질 탄소층 부분으로 하여금 낮은 온도에서, 예를 들어 100°C 미만의 온도에서, 또는 90°C 미만의 온도에서, 또는 80°C 미만의 온도에서, 또는 70°C 미만의 온도에서, 또는 60°C 미만의 온도에서, 또는 50°C 미만의 온도에서, 또는 40°C 미만의 온도에서, H 라디칼에 의해 선택적으로 에칭되도록 한다고 여겨진다. 미노광된 비정질 탄소층 부분은 이들 저온에서 H 라디칼에 의해 에칭되지 않는데, 그 이유는 미노광된 비정질 탄소층 부분이 EUV 노광에 의해 손상되지 않았기 때문이고, 비정질 탄소의 C-C 결합은 H 라디칼에 의해 실질적으로 에칭되지 않기 때문으로 여겨진다. 또한, 본 발명이 임의의 특정 이론 또는 작동 모드에 의해 구속되지 않는다면, H 라디칼은 비정질 탄소층의 표면을 수소화시킬 수 있고, 이에 따라 CH3 종결기를 상기 표면 상에 형성한다고 여겨진다. 따라서, CH3로 종결된 비정질 탄소를 갖는 영역이 형성될 수 있고, 이는 희생 마스킹층의 증착 동안 차단 또는 활성층으로 사용될 수 있다.
CH3로 종결된 비정질 탄소 상에 선택적으로 증착될 수 있는 희생 마스킹층의 일례는 RuO2이고, 예를 들어 유기 용매 내에 RuO4 를 포함한 전구체에 의해 시작된다. 유기 용매 내에 RuO4를 포함하는 조성물은 상업적으로 이용 가능하다.
CH3로 종결된 비정질 탄소가 아니고 하부층 또는 기판 상에 선택적으로 증착될 수 있는 마스킹층의 예시는, TiCl4과 같은 티타늄 할라이드 전구체를 이용한 TiOx(즉, 티타늄 옥사이드), TiOxCy(즉, 티타늄 옥시카바이드) 또는 TiN의 원자층 증착; HfCl4과 같은 하프늄 할라이드 전구체를 이용한 하프늄 옥사이드의 원자층 증착; 및 EBECHRu를 이용한 루테늄의 원자층 증착을 포함한다. 이들 재료가 CH3로 종결된 비정질 탄소에 대해 그 위에 선택적으로 증착될 수 있는 하부층 및 기판은, 금속계 하부층 및 실리콘계 하부층, 및 기판, 예컨대 단결정 실리콘, 스핀-온 실리콘, 및 저 유전율 유전체를 포함한다. 마스킹층이 티타늄 옥사이드, 티타늄 나이트라이드 및 루테늄 중에서 선택된 경우, CH3로 종결된 비정질 탄소에 대해 선택적 증착을 위한 적절한 하부층은 SiO2, SiN 및/또는 SiON을 포함할 수 있다. 마스킹층이 티타늄 옥사이드 중에서 선택된 경우, CH3로 종결된 비정질 탄소에 대해 선택적 증착을 위한 적절한 하부층은 루테늄을 포함할 수 있다. 루테늄이 마스킹층으로서 사용되는 경우, CH3로 종결된 비정질 탄소에 대해 선택적 증착을 위한 적절한 하부층은 티타늄 옥사이드 또는 티타늄 나이트라이드를 포함할 수 있다. 일부 구현예에서, 전술한 하부층은 주석을 추가로 포함할 수 있으며, 이는 적절한 노광을 달성하기 위해 요구되는 EUV 조사량을 감소시킬 수 있다.
본 방법 및 장치는, 증착, 에칭, 및/또는 기타 목적을 위해 사용되는 경우, 많은 장점을 제공한다. 예를 들어, 레지스트 붕괴에 연관된 문제점을 피할 수 있다. 역으로, 두꺼운 레지스트 라인(예, 30 nm 두께)을 사용하는 종래 기술은, 레지스트와 하부층 사이의 부착이 충분히 강하지 않을 경우, 습식 현상 단계 동안 레지스트 라인의 붕괴를 겪을 수 있다. 또한, 본원에 개시된 접근법은, PAG 분리 및 PAG ??칭과 같은 포토-산 발생기(PAG)를 포함하여 레지스트를 사용할 경우에 발생할 수 있는 문제를 없앤다. 또한, 에칭 목적을 위해 사용되는 경우, 본 방법은 매우 얇은 하부층만을 필요로 하고, 매우 높은 해상도, 예를 들어 13 nm, 또는 심지어 매우 높은 수치의 애퍼쳐가 사용되는 경우에 심지어 약 8 nm의 해상도를 제공하면서도 우수한 에칭 예산을 갖는 희생 마스킹층의 선택적 증착을 허용한다.
위에 설명된 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이며, 본 발명의 구현예는 첨부된 청구범위 및 그의 법적 균등물에 의해 정의된다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 도시되고 기재된 것 외에도, 기재된 요소들의 선택적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (22)

  1. 기판 상에 희생 마스킹층을 생성하기 위한 기판 처리 장치로서, 상기 장치는,
    상기 기판 처리 장치와 리쏘그래피 투영 장치 사이에서 기판을 이송하기 위한 리쏘 입력/출력 포트;
    상기 마스킹층을 생성하기 위해 반응 챔버에서 복사선 개질 및 비개질층 부분 중 하나와 반응하고 상기 복사선 개질 및 비개질층 부분 중 다른 하나와 반응하지 않도록 선택된 제1 전구체를 제공하는 선택적 증착 장치;
    상기 리쏘 입력/출력 포트와 상기 선택적 증착 장치 사이에서 기판을 이송하기 위한 기판 핸들러; 및
    상기 기판 핸들러 및 상기 선택적 증착 장치에 작동 가능하게 연결되고, 프로그램을 구비한 메모리를 구비하는 제어시스템(상기 프로그램은, 상기 제어 시스템 상에서 실행되는 경우,
    상기 기판 핸들러로 하여금 상기 리쏘 입력/출력 포트를 통해 상기 기판을 픽업하고 이를 상기 선택적 증착 장치로 이동시키고,
    상기 선택적 증착 장치로 하여금, 상기 희생 마스킹층을 생성하기 위해 상기 반응 챔버에서 상기 복사선 개질 및 비개질층 부분 중 하나와 반응하고 상기 복사선 개질 및 비개질층 부분 중 다른 하나와 반응하지 않도록 선택된 제1 전구체를 제공하도록 제어함)을 포함하는, 기판 처리 장치.
  2. 제1항에 있어서, 상기 메모리는, 상기 제어 시스템 상에서 실행되는 경우에 상기 전구체가 상기 반응 챔버에 제공된 이후 전구체 분배 및 제거 시스템을 활성화시켜 상기 반응 챔버로부터 상기 제1 전구체의 일부를 제거하는 프로그램을 구비하는, 기판 처리 장치.
  3. 제2항에 있어서, 상기 전구체 분배 및 제거 시스템은, 상기 반응 챔버로 제2 기상 전구체를 제공하고 상기 반응 챔버로부터 이를 제거하기 위한 하나 이상의 반응 챔버 밸브를 포함하고, 상기 메모리 내에 저장된 선택적 증착 공정은, 상기 희생 마스킹층을 생성하기 위해 상기 반응 챔버에서 상기 개질 및 비개질층 부분의 하나와 반응하고 상기 개질 및 비개질층 부분의 다른 하나와 반응하지 않도록 상기 제2 전구체를 상기 반응 챔버에 제공하는 상기 전구체 분배 및 제거 시스템을 활성화시키는 단계를 추가로 포함하는, 기판 처리 장치.
  4. 제3항에 있어서, 상기 메모리에 저장된 선택적 증착 방법은, 상기 반응 챔버로부터 상기 제2 전구체의 일부를 제거하기 위해 상기 전구체 분배 및 제거 시스템을 활성화시키는 단계를 추가로 포함하는, 기판 처리 장치.
  5. 제1항에 있어서, 상기 선택적 증착 장치는, 상기 복사선 개질 및 비개질층 부분 중 하나 위에 금속을 선택적으로 증착하도록 구성되고 배열되는, 기판 처리 장치.
  6. 제1항에 있어서, 상기 선택적 증착 장치의 전구체 분배 및 제거 시스템은, 상기 반응 챔버 내에 금속 할라이드를 제공하도록 구성되고 배열되는, 기판 처리 장치.
  7. 제1항에 있어서, 상기 기판 처리 장치는 기판 상에 복사선 개질 가능층을 증착하기 위한 개질 가능층 증착 장치를 구비하는, 기판 처리 장치.
  8. 제1항에 있어서, 상기 선택적 증착 장치의 전구체 분배 및 제거 시스템은, 상기 반응 챔버 내에 알루미늄(Al), 하프늄(Hf), 갈륨(Ga), 게르마늄(Ge), 지르코늄(Zr), 인듐(In), 리튬(Li), 텔루륨(Te), 안티몬(Sb), 티타늄(Ti), 탄탈륨(Ta), 텅스텐(W) 및 주석(Sn)을 포함하는 군으로부터 하나의 금속을 포함한 전구체를 제공하도록 구성되고 배열되는, 기판 처리 장치.
  9. 제1항에 있어서, 상기 선택적 증착 장치의 전구체 분배 및 제거 시스템은, 상기 반응 챔버 내에 산화제를 포함한 전구체를 제공하도록 구성되고 배열되는, 기판 처리 장치.
  10. 제1항에 있어서, 상기 선택적 증착 장치의 전구체 분배 및 제거 시스템은, 상기 반응 챔버 내에 실리콘을 포함한 전구체를 제공하도록 구성되고 배열되는, 기판 처리 장치.
  11. 제1항에 있어서, 상기 선택적 증착 장치는 상기 반응 챔버의 온도를 20 내지 450°C의 값으로 제어하도록 구성되고 배열되는, 기판 처리 장치.
  12. 제1항에 있어서, 상기 선택적 증착 장치는 상기 반응 챔버의 압력을 0.001 내지 1000, 바람직하게는 0.1 내지 500, 보다 바람직하게는 1 내지 100 토르의 값으로 제어하도록 구성되고 배열되는, 기판 처리 장치.
  13. 제1항에 있어서, 상기 복사선 개질 가능층 증착 장치는, 상기 기판을 회전시키기 위한 회전식 기판 테이블, 및 상기 기판의 표면에 액체를 제공하기 위한 액체 디스펜서를 포함하는, 기판 처리 장치.
  14. 제1항에 있어서, 상기 장치는,
    상기 기판 처리 장치와 상기 반도체 팹 사이에서 기판을 이송하기 위한 팹 입력/출력 포트;
    복사선 개질 가능층을 상기 기판 상에 증착하기 위한 복사선 개질 가능층 증착 장치; 및
    상기 기판 핸들러, 상기 복사선 개질층 증착 장치 및 상기 선택적 증착 장치에 작동 가능하게 연결되고, 프로그램을 구비한 메모리를 구비하는 제어시스템(상기 프로그램은, 상기 제어 시스템 상에서 실행되는 경우,
    상기 기판 핸들러로 하여금 상기 팹 입력/출력 포트로부터 기판을 픽업하고 이를 상기 개질 가능층 증착 장치로 이동시키고,
    상기 복사선 개질 가능층 증착 장치로 하여금 상기 기판 상에 복사선 개질 가능층을 증착시키고,
    상기 기판 핸들러로 하여금 상기 개질 가능층 증착 장치로부터 상기 기판을 픽업하고 이를 상기 리쏘 입력/출력 포트를 통해 리쏘그래피 투영 장치로 이동시키고, 상기 리쏘그래피 투영 장치의 노광 복사선을 이용하여 상기 기판 상의 상기 복사선 개질 가능층을 국부적으로 개질시킨 다음에,
    상기 기판 핸들러로 하여금 상기 리쏘 입력/출력 포트를 통해 상기 기판을 픽업하고 이를 상기 선택적 증착 장치로 이동시키고,
    상기 선택적 증착 장치로 하여금 상기 희생 마스킹층을 생성하기 위해 상기 반응 챔버에서 상기 복사선 개질 및 비개질층 부분 중 하나와 반응하고 상기 복사선 개질 및 비개질층 부분 중 다른 하나와 반응하지 않도록 선택된 제1 전구체를 제공시키고,
    상기 기판 핸들러로 하여금 상기 기판을 상기 선택적 증착 장치로부터 픽업하고 이를 상기 팹 입/출력 포트로 이동시키도록 제어함)을 포함하는, 기판 처리 장치.
  15. 희생 마스킹층을 생성하기 위한 기판 처리 방법은,
    상기 복사선 개질 가능층을 국부적으로 개질하기 위해, 복사선 개질 가능층을 갖는 기판을 리쏘그래피 투영 장치에 제공하는 단계;
    복사선에 노광된 상기 복사선 개질 가능층을 개질하기 위해, 상기 기판의 복사선 개질 가능층을 상기 리쏘그래피 투영 장치의 노광 복사선을 이용해 국부적으로 개질하는 단계;
    상기 기판을 상기 리쏘그래피 투영 장치에서 선택적 증착 장치의 반응 챔버로 이동시키는 단계; 및
    상기 희생 마스킹층을 생성하기 위해 반응 챔버에서 상기 복사선 개질 및 비개질층 부분 중 하나와 반응하고 상기 복사선 개질 및 비개질층 부분의 다른 하나와 반응하지 않도록 선택된 제1 전구체를 제공하는 단계를 포함하는, 기판 처리 방법.
  16. 제15항에 있어서, 상기 복사선 개질 가능층은 적어도 단일층의 두께를 갖는, 기판 처리 방법.
  17. 제15항에 있어서, 상기 복사선 개질 가능층은 단일층 이상의 두께를 갖는, 기판 처리 방법.
  18. 제15항에 있어서, 상기 복사선 개질 가능층은 0.1 내지 20 nm, 보다 바람직하게는 1 내지 20 nm의 두께를 갖는, 기판 처리 방법.
  19. 제15항에 있어서, 상기 개질 및 비개질층 부분 중 하나와 반응하도록 선택된 상기 제1 전구체가 제공된 이후, 상기 전구체 분배 및 제거 시스템은 상기 제1 전구체의 미반응 부분 및/또는 반응 부산물을 상기 반응 챔버로부터 제거하는, 기판 처리 방법.
  20. 제19항에 있어서, 상기 제1 전구체의 일부분이 상기 반응 챔버로부터 제거된 이후, 상기 전구체 분배 및 제거 시스템은 제2 전구체를 상기 반응 챔버에 제공하는, 기판 처리 방법.
  21. 제20항에 있어서, 상기 제2 전구체가 제공된 이후, 상기 전구체 분배 및 제거 시스템은 상기 제2 전구체의 미반응 부분 및/또는 반응 부산물을 상기 반응 챔버로부터 제거하는, 기판 처리 방법.
  22. 패터닝된 기판을 생성하기 위한 기판 처리 방법으로서,
    제15항에 따른 희생 마스킹층을 생성하는 단계;
    상기 희생 마스킹층을 통해 상기 기판을 에칭하는 단계; 및
    상기 희생 마스킹층을 제거하는 단계를 포함하는, 기판 처리 방법.
KR1020200087878A 2019-07-30 2020-07-16 기판 처리 장치 및 방법 KR20210015655A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962880491P 2019-07-30 2019-07-30
US62/880,491 2019-07-30

Publications (1)

Publication Number Publication Date
KR20210015655A true KR20210015655A (ko) 2021-02-10

Family

ID=74258646

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200087878A KR20210015655A (ko) 2019-07-30 2020-07-16 기판 처리 장치 및 방법

Country Status (5)

Country Link
US (1) US20210033977A1 (ko)
JP (1) JP2021027343A (ko)
KR (1) KR20210015655A (ko)
CN (1) CN112309902A (ko)
TW (1) TW202123355A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024101775A1 (ko) * 2022-11-07 2024-05-16 주식회사 넥서스비 산화갈륨용 하이브리드 증착 장치 및 이를 이용한 하이브리드 증착 방법

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100557222B1 (ko) * 2004-04-28 2006-03-07 동부아남반도체 주식회사 이머전 리소그라피 공정의 액체 제거 장치 및 방법
US8610989B2 (en) * 2011-10-31 2013-12-17 International Business Machines Corporation Optoelectronic device employing a microcavity including a two-dimensional carbon lattice structure
US9588440B2 (en) * 2015-02-12 2017-03-07 International Business Machines Corporation Method for monitoring focus in EUV lithography
US10672619B2 (en) * 2016-12-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10312108B2 (en) * 2017-04-06 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using modified resist layer
US10845704B2 (en) * 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024101775A1 (ko) * 2022-11-07 2024-05-16 주식회사 넥서스비 산화갈륨용 하이브리드 증착 장치 및 이를 이용한 하이브리드 증착 방법

Also Published As

Publication number Publication date
TW202123355A (zh) 2021-06-16
CN112309902A (zh) 2021-02-02
JP2021027343A (ja) 2021-02-22
US20210033977A1 (en) 2021-02-04

Similar Documents

Publication Publication Date Title
KR20210015655A (ko) 기판 처리 장치 및 방법
US20200064737A1 (en) Substrate processing apparatus and method
US20210247693A1 (en) Method of forming an enhanced unexposed photoresist layer
JP7420744B2 (ja) 浸透性材料に浸透させる浸透装置および方法
CN111048400B (zh) 通过循环cvd形成保形碳化硅膜的方法
US9916980B1 (en) Method of forming a structure on a substrate
TWI795094B (zh) 處理設備、圖案化結構及其製造方法
JP2021503547A (ja) 金属表面上の金属酸化物のaldのための方法
US20200013629A1 (en) Semiconductor processing apparatus
TW201700786A (zh) 超薄原子層沉積膜精度厚度控制
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
KR20220145769A (ko) 포토레지스트 언더레이어 상에 접착 층을 형성하기 위한 방법 및 이를 포함한 구조체
US20240134274A1 (en) Halogen-and aliphatic-containing organotin photoresists and methods thereof
JP2023037605A (ja) フォトレジスト吸収体層を形成する方法、およびそれを含む構造
KR20230168133A (ko) 포토레지스트 언더레이어를 형성하는 고온 방법 및 이를 형성하기 위한 시스템