JP7420744B2 - 浸透性材料に浸透させる浸透装置および方法 - Google Patents

浸透性材料に浸透させる浸透装置および方法 Download PDF

Info

Publication number
JP7420744B2
JP7420744B2 JP2020565396A JP2020565396A JP7420744B2 JP 7420744 B2 JP7420744 B2 JP 7420744B2 JP 2020565396 A JP2020565396 A JP 2020565396A JP 2020565396 A JP2020565396 A JP 2020565396A JP 7420744 B2 JP7420744 B2 JP 7420744B2
Authority
JP
Japan
Prior art keywords
precursor
reaction chamber
permeable material
vapor
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020565396A
Other languages
English (en)
Other versions
JP2021525455A (ja
Inventor
クシシュトフ・カミル・カヘル
エリーナ・ファルム
Original Assignee
エーエスエム・アイピー・ホールディング・ベー・フェー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム・アイピー・ホールディング・ベー・フェー filed Critical エーエスエム・アイピー・ホールディング・ベー・フェー
Publication of JP2021525455A publication Critical patent/JP2021525455A/ja
Application granted granted Critical
Publication of JP7420744B2 publication Critical patent/JP7420744B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Description

本開示は、概ね、浸透装置、特に浸透性材料にシリコン原子を浸透させるように構成される浸透装置に関する。本開示はまた、概ね、浸透性材料に浸透させる方法に関する。
半導体デバイス構造がますます小さな形状に向かうにつれて、異なるパターニング技術が生まれた。これらの技術は、自己整合多重パターニング、スペーサ規定四重パターニング、深紫外線リソグラフィ(DUV)、極端紫外線リソグラフィ、およびスペーサ規定二重パターニングと組み合わせたDUV/EUVを含む。更に、誘導自己組織化(DSA)は、将来のリソグラフィ用途の選択肢と考えられている。
上記のパターニング技術は、基材の高解像度パターニングを可能にするために、基材上に配置される少なくとも一つのポリマーレジストを利用することができる。高解像度および低ラインエッジラフネスの両方の要求を満たすために、ポリマーレジストは一般的に薄い層であることができる。しかしながら、このような薄いポリマーレジストはいくつかの欠点を有することがある。特に、高解像度ポリマーレジストは、低い耐エッチング性、すなわち速いエッチング速度を有することができる。ポリマーレジストのこの低い耐エッチング性のために、パターン形成されたレジストを下にある層へ転写することはより困難になる。ポリマーレジストはさらにより低い耐エッチング性およびエッチング選択性を有する可能性があるので、高度な高解像度ポリマーレジストを更に縮小するのが必要な場合に、低い耐エッチング性はより大きな問題となる。
いくつかの用途では、ポリマーレジストのパターンをハードマスクに転写することが有利である場合がある。ハードマスクは、ポリマーまたは他の有機「ソフト」レジスト材料の代わりに、またはそれらに加えてエッチングマスクとして半導体プロセスにおいて用いられる材料である。ハードマスク材料は一般的に、ポリマーレジストよりも耐エッチング性が高く、エッチング選択性が高い。しかし、ハードマスクでも、エッチング速度を最適化する必要がある場合がある。
したがって、高度な特性、例えば改善された耐エッチング性を備えるポリマーレジストおよびハードマスクが望ましい。
この発明の概要は、概念の選択を簡略化した形で紹介するように提供する。これらの概念について、以下の本開示の例示的な実施形態の「発明を実施するための形態」において、更に詳細に説明される。この発明の概要は、特許請求される主題の主要な特徴または本質的な特徴を特定することを意図することも、特許請求される主題の範囲を限定するために使用することも意図していない。
いくつかの実施形態では、浸透装置が開示される。浸透装置は、上に浸透性材料を備える少なくとも一つの基材を保持するように構成および配置される反応チャンバーと、シリコン化合物を含む第一の前駆体の蒸気を供給するように構成および配置される第一の前駆体源と、反応チャンバーに第一の前駆体源から第一の前駆体の蒸気を供給し、第一の前駆体の蒸気を反応チャンバーから除去するように構成および配置される前駆体分配システムおよび除去システムと、前駆体分配システムおよび除去システムに動作可能に接続され、かつシーケンスコントローラ上で実行される場合、前駆体分配および除去システムを作動させて反応チャンバー内の基材上の浸透性材料に第一の前駆体の蒸気を供給し、これにより、反応チャンバー内の基材上の浸透性材料に、第一の前駆体の蒸気と浸透性材料との反応によってシリコン原子を浸透させることにより、浸透性材料の浸透を実行させるためのプログラムを備えるメモリを備えるシーケンスコントローラと、を備える。
いくつかの実施形態では、浸透性材料に浸透させる方法が提供される。方法は、上に配置される浸透性材料を備える基材を反応チャンバー内に供給することと、シリコン化合物を含む第一の前駆体を、反応チャンバー内の浸透性材料に第一の期間(T)供給することであって、これにより反応チャンバー内の基材上の浸透性材料にシリコン原子を浸透させる、供給することと、第二の期間(T)反応チャンバーをパージすることと、を含む。
本発明と先行技術を超えて達成された利点とを要約する目的で、本発明の特定の目的及び利点が本明細書において上記に説明されている。当然のことながら、必ずしもこうした目的又は利点のすべてが本発明の任意の特定の実施形態によって達成されなくてもよいことが理解されるべきである。それ故に、例えば本明細書に教示又は示唆する通り、一つの利点又は一群の利点を達成又は最適化する様態で、本明細書で教示又は示唆されうる通りの他の目的又は利点を必ずしも達成することなく、本発明が具体化又は実行されてもよいことを当業者は認識するであろう。
これらの実施形態の全ては、本明細書に開示する本発明の範囲内であることが意図されている。当業者には、これらの及び他の実施形態は、添付の図面を参照して、以下のある特定の実施形態の詳細な説明から容易に明らかとなり、本発明は、開示されるいかなる特定の実施形態にも限定されない。
本明細書は、本発明の実施形態とみなされるものを具体的に指摘し、明確に特許請求する特許請求の範囲で結論付ける一方で、本開示の実施形態の利点は、添付の図面と併せて読むと、本開示の実施形態のある特定の実施例の説明から、より容易に確認することができる。
図1は、本開示の実施形態による非限定的な例示的な浸透装置である。 図2は、本開示の実施形態による第一の前駆体を用いて浸透性材料に浸透させるための方法を示す、非限定的な例示的プロセスフローである。 図3は、本開示の実施形態による第一の前駆体および第二の前駆体を用いて浸透性材料に浸透させるための方法を示す、別の非限定的な例示的プロセスフローである。 図4は、本開示の実施形態による逐次浸透合成(SIS)のための方法を示す、非限定的な例示的プロセスフローである。 図5は、本開示の実施形態による逐次浸透合成(SIS)のための別の方法を示す、別の非限定的な例示的フローである。 図6は、本開示の実施形態による、浸透された材料から得られたX線光電子スペクトル(XPS)を表す。 図7は、本開示の実施形態による、浸透された材料から得られた二次イオン質量スペクトル(SIMS)である。 図8は、本開示の実施形態による、浸透された材料を備える半導体デバイス構造の概略断面図である。
ある特定の実施形態および実施例を以下に開示するが、それらは、本発明が具体的に開示する本発明の実施形態および/または用途、ならびにその明白な変更および均等物を超えて拡大することは、当業者により理解されるであろう。それ故に、開示される本発明の範囲は、以下に説明される特定の開示された実施形態によって限定されるべきではないことが意図される。
本明細書に示される図は、任意の特定の材料、構造またはデバイスの実際の図であることを意味せず、本開示の実施形態について記載するために使用される、単に理想化された表現にすぎない。
本明細書で使用する用語「基材」は、使用することができる、または上にデバイス、回路、もしくは膜を形成することができる、任意の下地材料または複数の材料を指すことができる。
本明細書で使用する用語「浸透性材料」は、追加の種、例えば原子、分子、またはイオンを中に導入することができる任意の材料を指してもよい。
本明細書で使用する用語「半導体デバイス構造」という用語は、半導体基材の上またはその中に形成される半導体デバイスの能動部品または受動部品の少なくとも一部分である、またはそれらを含むまたは画定する、処理された半導体構造または部分的に処理された半導体構造の任意の部分を指す場合がある。例えば、半導体デバイス構造としては、集積回路の能動部品および受動部品、例えばトランジスタ、メモリ素子、トランスデューサ、キャパシタ、抵抗器、導電線、導電性ビア、導電性コンタクトパッドなどが挙げられてもよい。
本開示の実施形態を通じて多くの例示的な材料が与えられており、例示的な材料のそれぞれに与えられる化学式は限定的であると解釈されるべきではなく、与えられる非限定的な例示的な材料はある例示的な化学量論によって限定されるべきではないことに留意されたい。
本開示は、半導体デバイス製造プロセスにおいてエッチングマスクとして使用される材料、例えば、ポリマーレジストおよびハードマスク材料の耐エッチング性を高めるために利用されることができる浸透装置および浸透方法を含む。
浸透プロセス、例えば逐次浸透合成(SIS)は、無機保護成分で有機材料を改質することによって様々な有機材料の耐エッチング性を高めることを示している。例えば、SISプロセスは、有機レジスト材料に浸透してレジスト層内に保護成分を形成する気相前駆体へのポリマーレジストの交互暴露を利用する。SISプロセスおよびその使用法は、米国特許出願公開第2012/0241411号に記載され、参照により本明細書に組み込まれる。したがって、浸透プロセスを高解像度ポリマーレジストおよびハードマスクパターニングと組み合わせることは、従来のアプローチ、例えば米国特許出願公開第2014/0273514号に記載されているものではこれまで見られなかった利点をもたらすことができる。
従来の浸透プロセスは、一般的に、金属酸化物、例えば、酸化アルミニウム(Al)の高解像度ポリマーレジストへの浸透を伴う。例えば、90℃の基材温度で、トリメチルアルミニウム(TMA)と水(HO)との交互のパルスにより、基材上に配置される高解像度ポリマーレジスト内に酸化アルミニウムを浸透させることができる。しかし、いくつかの半導体デバイス用途では、金属酸化物を浸透材料として利用することは望ましくない場合がある。例えば、酸化アルミニウムを浸透材料として使用することは、プラズマエッチング装置に望ましくないメモリ効果が生じる場合があり、さらに、残留する酸化アルミニウムは除去が困難な場合がある。したがって、代替材料/種を、高解像度ポリマーレジストおよびハードマスク材料中に浸透させることができる浸透装置およびプロセスが望ましい。
したがって、本開示のいくつかの実施形態では、浸透装置が開示されることができる。いくつかの実施形態では、浸透装置は、上に浸透性材料を備える少なくとも一つの基材を保持するように構成および配置される反応チャンバーと、シリコン化合物を含む第一の前駆体の蒸気を供給するように構成および配置される第一の前駆体源と、反応チャンバーに第一の前駆体源から第一の前駆体の蒸気を供給し、第一の前駆体の蒸気を反応チャンバーから除去するように構成および配置される前駆体分配システムおよび除去システムと、前駆体分配システムおよび除去システムに動作可能に接続し、かつシーケンスコントローラ上で実行される場合、前駆体分配システムおよび除去システムを作動させて反応チャンバー内の基材上の浸透性材料に第一の前駆体の蒸気を供給し、これにより、反応チャンバー内の基材上の浸透性材料に第一の前駆体の蒸気と浸透性材料との反応によってシリコン原子を浸透させることにより、浸透性材料の浸透を実行させるためのプログラムを備えるメモリを備えるシーケンスコントローラと、を備える。
本開示の浸透装置の非限定的な例を、本開示の実施形態による例示的な浸透装置100の概略図を含む図1に例示する。図1に例示する浸透装置100は例示的な浸透装置の簡略化された概略図であり、あらゆる要素、即ち、例えば本開示の浸透装置の製造に利用することができるあらゆるバルブ、ガスライン、発熱体、および反応器構成要素等を含むわけではないことに留意されたい。図1に例示する浸透装置は、本開示の実施形態を理解するように、当業者に充分な開示を提供するために、浸透装置の重要な特徴を提供する。
例示的な浸透装置100は、上に浸透性材料106を備える少なくとも一つの基材104を保持するように構成および配置される反応チャンバー102を備えることができる。
浸透性材料に浸透させるために使用されることができる反応チャンバーを、本明細書に記載の浸透プロセスに使用することができる。このような反応チャンバーは、原子層堆積(ALD)プロセス用に構成された反応チャンバー、ならびに化学気相堆積(CVD)プロセス用に構成された反応チャンバーを含むことができる。いくつかの実施形態によれば、シャワーヘッド反応チャンバーを使用することができる。いくつかの実施形態によれば、クロスフロー、バッチ、ミニバッチ、または空間的ALD反応チャンバーを使用することができる。
本開示のいくつかの実施形態では、バッチ式反応チャンバーを使用することができる。いくつかの実施形態では、縦型バッチ式反応チャンバーを使用することができる。他の実施形態では、バッチ式反応チャンバーは、10枚以下のウェーハ、8枚以下のウェーハ、6枚以下のウェーハ、4枚以下のウェーハ、または2枚以下のウェーハを収容するように構成されたミニバッチ式反応器を備える。
本明細書に記載の浸透プロセスを、クラスタツールに連結する反応器または反応チャンバー内で必要に応じて行うことができる。クラスタツールでは、各反応チャンバーが一つのタイプのプロセス専用であるため、各モジュール内の反応チャンバーの温度を一定に保つことができ、各運転の前に基材をプロセス温度まで加熱する反応器と比較してスループットが向上する。更に、クラスタツールでは、反応チャンバーを基材間で所望のプロセス圧力レベルに排気する時間を短縮することが可能である。本開示のいくつかの実施形態では、浸透プロセスとエッチングプロセスの両方は、複数の反応チャンバーを備えるクラスタツール内で実施されてもよく、各個々の反応チャンバーは基材を個々の前駆体ガス/プラズマ化学物質に曝すために使用されてもよく、基材は複数の前駆体ガスおよび/またはプラズマ化学物質に曝すための異なる反応チャンバー間で搬送されてもよく、基材の搬送は基材の酸化/汚染を回避するために制御された周辺環境下で実施される。本開示のいくつかの実施形態では、浸透プロセスおよびエッチングプロセスは、複数の反応チャンバーを備えるクラスタツール内で実施されてもよく、各個々の反応チャンバーは基材を異なる温度に加熱するように構成されてもよい。
浸透プロセスを単独で実行するように構成および配置され、ロードロックを装備することができる反応チャンバーを備える、独立型浸透装置を利用することができる。その場合、各運転と運転との間に反応チャンバーを冷却する必要はない。
反応チャンバー102内に配置されているのは、上に配置される、すなわち基材104の上面に配置される浸透性材料106を備える少なくとも一つの基材104である。本開示のいくつかの実施形態では、基材104は(図1に示されるように)平面基材またはパターン形成された基材を備えることができる。基材104は、シリコン(Si)、ゲルマニウム(Ge)、ゲルマニウムスズ(GeSn)、シリコンゲルマニウム(SiGe)、シリコンゲルマニウムスズ(SiGeSn)、炭化シリコン(SiC)、またはIII-V族半導体材料、例えば、ガリウムヒ素(GaAs)、ガリウムリン(GaP)、もしくは窒化ガリウム(GaN)を含む一つまたは複数の材料を含むことができるが、これらに限定されない。本開示のいくつかの実施形態では、基材104は、表面半導体層がバルク支持部上に配置され、介在する埋め込み酸化物(BOX)がその間に配置される加工基材を含む。
パターン形成された基材は、基材の表面内または表面上に形成された半導体デバイス構造を含むことができる基材を備えることができ、例えば、パターン形成された基材は、部分的に製造された半導体デバイス構造、例えばトランジスタおよび/またはメモリ素子を含むことができる。いくつかの実施形態では、基材は、単結晶表面および/または、多結晶表面および/または非結晶表面などの非単結晶表面を含み得る一つ以上の二次表面を含み得る。単結晶表面は、例えば、シリコン(Si)、シリコンゲルマニウム(SiGe)、ゲルマニウムスズ(GeSn)、またはゲルマニウム(Ge)のうちの一つ以上を含み得る。多結晶または非結晶表面は、誘電体材料、例えば酸化物、酸窒化物または窒化物、例えば酸化ケイ素および窒化ケイ素などを含むことができる。
本開示のいくつかの実施形態では、基材104は、その上に配置される、すなわち基材104の上面に配置される浸透性材料106を有する。浸透性材料106は、浸透性材料106に導入された場合に浸透性材料106のエッチング耐性を高めることができる追加の種を導入することができる任意の材料を含むことができる。本開示のいくつかの実施形態では、浸透性材料106は、ポリマーレジスト、例えばフォトレジスト、極端紫外線(EUV)レジスト、液浸フォトレジスト、化学増幅レジスト(CAR)、または電子線レジスト(例えば、ポリ(メチルメタクリレート)(PMMA))等のうちの少なくとも一つを含むことができる。本開示のいくつかの実施形態では、浸透性材料106は、多孔質材料、例えばスピンオングラス(SOG)およびスピンオンカーボン(SOC)を含む多孔質材料、例えば微多孔質および/またはナノ多孔質材料を含むことができる。本開示のいくつかの実施形態では、浸透性材料106は、酸化ケイ素、窒化ケイ素、および酸窒化ケイ素を含むがこれらに限定されない、一つまたは複数のハードマスク材料を含むことができる。
浸透性材料106は、後続のエッチングプロセス中に下にある基材に転写されることができる一つまたは複数の浸透性形体を含む、パターン形成された浸透性材料を含むことができる。浸透性形体は、露光および関連する現像プロセスに応じて形成されることができる任意の形状を含むことができ、ライン形体、ブロック形体、開気孔形体、および円形形体を含むことができるが、これらに限定されない。
基材104は、反応チャンバー102内に配置され、上に少なくとも一つの基材を保持するように構成されるサセプタ108によって定位置に保持されることができる。本開示のいくつかの実施形態では、本明細書に開示される浸透プロセスは、基材104および関連する浸透性材料106を好適なプロセス温度に加熱するプロセスを利用することができる。したがって、サセプタ108は、上に配置される浸透性材料106を備える基材104を、約0℃を超える、または約100℃を超える、または約200℃を超える、または約300℃を超える、または約400℃を超える、またはさらに約450℃を超える温度に加熱するように構成されることができる一つまたは複数の発熱体110を備えてもよい。
本開示のいくつかの実施形態では、例示的な浸透装置100は、いくらかの前駆体の蒸気を供給し、関連する蒸気を反応チャンバー102に分注するように構成および配置される一つまたは複数の前駆体源114Aおよび114Bをさらに含むことができるガス送達システム112を備えることができる。ガス送達システム112はまた、本明細書に記載の例示的な浸透プロセスのパージサイクルで利用することができるパージガスを貯蔵および分注するように構成された供給源容器116を含むことができる。ガス送達システム112はまた、本明細書に記載の例示的な浸透プロセスで利用されるように反応物質を収容して反応チャンバー102に分配するように構成される反応物質供給源容器118を備えることができる。非限定的な例として、浸透装置100は、シリコン化合物を含む第一の前駆体の蒸気を供給するように構成および配置される第一の前駆体源114Aを備えることができる。いくつかの実施形態では、第一の前駆体源114Aは、シリコン化合物を含む第一の前駆体を蒸発させるように構成および配置される第一の前駆体蒸発器を備えることができる。
いくつかの実施形態では、第一の前駆体源114Aは、好適な動作条件下で第一の前駆体を貯蔵および収容するように構成された供給源容器を備えることができる。例えば、第一の前駆体は固体前駆体、液体前駆体、または気相前駆体を含むことができ、供給源容器は好適な動作条件下で固体、液体、または気相前駆体を貯蔵および収容するように構成されることができる。いくつかの実施形態では、第一の前駆体はシリコン化合物を液体形態で含むことができ、第一の前駆体源は、第一の前駆体を好適な動作温度に加熱し、それにより第一の前駆体の一部を制御可能に蒸発させることができる、一つまたは複数の制御可能な発熱体を備えることができる第一の前駆体蒸発器を備えることができ、蒸発した蒸気は、続いて、浸透性材料を浸透させるための好適な手段によって反応チャンバー102に分配される。いくつかの実施形態では、第一の前駆体源114Aと関連付けられた一つまたは複数の発熱体は、第一の前駆体の蒸気圧を制御するように構成されてもよい。更に、流量コントローラ120A、例えば質量流量コントローラ(MFC)は、第一の前駆体源114Aと更に関連付けられてもよく、第一の前駆体源114A、例えば、第一の前駆体蒸発器から生成された蒸気の質量流量を制御するように構成されてもよい。流量コントローラ120Aに加えて、バルブ122A、例えば遮断弁を第一の前駆体源114Aと関連付けることができ、第一の前駆体源114Aを反応チャンバー102から切リ離すために利用することができる。即ち、バルブ122Aが閉位置にある場合には、第一の前駆体源114Aによって生成された蒸気が反応チャンバー102内に流入するのを防ぐことができる。
別の実施形態では、第一の前駆体源114Aは、キャリアガス(例えば、窒素)を第一の前駆体上を通過させる、または第一の前駆体を通ってバブリングさせることができるようなキャリアガス投入装置(図示せず)を更に備えることができ、その結果、第一の前駆体をキャリアガス中に取り込むことができ、その後、キャリアガス/第一の前駆体蒸気を適切な手段によって反応チャンバー102に供給することができる。
いくつかの実施形態では、第一の前駆体源114Aは、シリコン化合物を含む第一の前駆体の蒸気を供給するように構成および配置されてもよい。例えば、第一の前駆体源114Aは、第一の前駆体の一部を蒸発させるように構成および配置される第一の前駆体蒸発器を備えることができ、それによりシリコン化合物を含む第一の前駆体の蒸気を生成する。いくつかの実施形態では、第一の前駆体源114Aは、置換シランの蒸気を供給するように構成および配置されることができる。いくつかの実施形態では、第一の前駆体源114Aは、アミノシランの蒸気を供給するように構成および配置されることができる。いくつかの実施形態では、第一の前駆体源は、3-アミノプロピルおよびシリコン含有化合物の蒸気、即ち3-アミノプロピル成分とシリコン成分の両方を含むシリコン前駆体を提供するように構成および配置されてもよい。
いくつかの実施形態では、第一の前駆体源114Aは、3-アミノプロピルトリエトキシシラン(APTES)の蒸気を供給するように構成および配置されてもよい。例えば、第一の前駆体源114Aは、3-アミノプロピルトリエトキシシラン(APTES)を蒸発させるように構成および配置されることができる第一の前駆体蒸発器を備えることができる。例えば、APTESを好適な供給源容器に貯蔵して収容することができ、APTESの一部を気化させ、それにより浸透性材料を浸透させるのに好適な気化された第一の前駆体を生成するために、関連する発熱体を利用してAPTESを0℃より高い、または90℃より高い、または更には230℃より高い温度に加熱することができる。
いくつかの実施形態では、第一の前駆体源114Aは、3-アミノプロピルトリメトキシシラン(APTMS)の蒸気を供給するように構成および配置されてもよい。例えば、第一の前駆体源114Aは、3-アミノプロピルトリメトキシシラン(APTMS)を蒸発させるように構成および配置されることができる第一の前駆体蒸発器を備えることができる。例えば、APTMSを好適な供給源容器に貯蔵して収容することができ、APTMSの一部を気化させ、それにより浸透性材料を浸透させるのに好適な気化された第一の前駆体を生成するために、関連する発熱体を利用してAPTMSを0℃より高い、または90℃より高い、または更には230℃より高い温度に加熱することができる。
本開示のいくつかの実施形態では、第一の前駆体源114Aは、アルコキシド配位子とアルコキシド配位子以外の別の配位子とを含むシリコン前駆体の蒸気を供給するように構成および配置されてもよい。例えば、第一の前駆体源114Aは、アルコキシド配位子およびアルコキシド配位子以外の別の配位子を含むシリコン前駆体を蒸発させるように構成および配置されることができる第一の前駆体蒸発器を備えることができる。
いくつかの実施形態では、第一の前駆体源114Aは、シリコン原子に結合するアミノ置換アルキル基を含むシリコン前駆体の蒸気を供給するように構成および配置されてもよい。本開示の非限定的な例示的な実施形態として、第一の前駆体源114、例えば、第一の前駆体蒸発器は、一般式(I)~(III)を有するシリコン前駆体の蒸気を供給するように構成および配置されることができる;
A-R-Si-L-L-L (I)
A-R-Si-(OR)(OR)(OR) (II)
N-R-Si-(OR)(OR)(OR) (III)
式中、Aは、例えばNH、NHR、NR、またはORなどの炭素鎖の置換基であり、Rは、例えばC1~C5アルキル基などの炭素鎖骨格であり、Lは、NR(アルキルアミン)、アルコキシド(OR)、ハロゲン、または水素である。
本開示のいくつかの実施形態では、第一の前駆体源114Aは、ハロゲン化物、例えば、ハロゲン化シリコン、ハロゲン化シラン、またはハロゲン化物を含むシラン、を含むシリコン化合物の蒸気を供給するように構成および配置されることができる。いくつかの実施形態では、シリコン化合物は、塩化物、例えば、ヘキサクロロジシラン(HCDS)、ジクロロシラン(DCS)、または四塩化ケイ素(SiCl)のうちの少なくとも一つを含む。本開示の非限定的な例示的な実施形態として、第一の前駆体源114Aは、一般式(IV)~(VI)を有するシリコン前駆体の蒸気を供給するように構成および配置されることができる;
Si2n+2(式中、nは1~4である) (IV)
Si2n+2-w(式中、nは1~4、wは0~4である) (V)
Si2n+2-w-y(式中、nは1~4、wは0~4-y、yは0~4-wである) (VI)
式中、Xはハロゲン、例えば、フッ素(F)、塩素(Cl)、臭素(Br)、またはヨウ素(I)であり、LはNR(アルキルアミン)、アルコキシド(OR)、ハロゲン、または水素であり、Hは水素である。
本開示のいくつかの実施形態では、第一のシリコン前駆体は、好適な原料容器に貯蔵された場合、すでに蒸気状態であってもよく、前駆体源は、関連する原料容器内の気相のシリコン前駆体の温度を上昇および下降させることにより、気相のシリコン前駆体の蒸気圧を制御するために利用されてもよい。したがって、当然のことながら、本開示の前駆体源は、気相反応物質、ならびに固体、液体、または混相反応物質を収容および分注するために利用されることができる。
本開示のいくつかの実施形態では、例示的な浸透装置100(図1)は、反応チャンバー102に第一の前駆体源114Aからの第一の前駆体の蒸気を供給し、反応チャンバー102から第一の前駆体の蒸気を除去するように構成および配置される前駆体分配除去システムを備えることができる。
より詳細には、前駆体分配システムは、ガス送達システム112、および一つまたは複数のガスライン、例えば第一の前駆体源114Aと流体連通するガスライン124、第二の前駆体源114Bと流体連通するガスライン126、供給源容器116と流体連通するガスライン128、および反応物質供給源容器118と流体連通するガスライン130を備えることができる。非限定的な例として、ガスライン124は第一の前駆体源114Aに流体連通しており、第一の前駆体の蒸気を反応チャンバー102に供給するように構成されていてもよい。
前駆体分配システムは更に、第一の前駆体の蒸気を反応性チャンバー102内および浸透性材料106が上に配置された基材104上に分配するように構成されるガス分配器132を備えることができ、ガス分配器132は、ガスライン126、128、および130と流体連通することに加えて、ガスライン124と流体連通する。
非限定的な例示的実施形態として、図1にブロック形状で例示するように、ガス分配器132はシャワーヘッドを備えることができる。シャワーヘッドはブロック形状で例示されているが、シャワーヘッドは比較的複雑な構造であることができることに留意されたい。いくつかの実施形態では、シャワーヘッドは、ガス混合物を反応チャンバー102に分配する前に複数の供給源からの蒸気を混合するように構成されてもよい。別の実施形態では、シャワーヘッドは、シャワーヘッドに導入された複数の蒸気間の分離を維持するように構成されてもよく、複数の蒸気は反応チャンバー102内に配置された基材104の近傍でのみ互いに接触する。更に、シャワーヘッドは、反応チャンバー102内への垂直方向または水平方向のガスの流れを供給するように構成されてもよい。例示的なガス分配器は、米国特許第8,152,922号に記載されており、その内容は、本開示と矛盾しない範囲で、参照により本明細書に組み込まれる。
図1に例示するように、前駆体分配システムは、ガス送達システム112、少なくともガスライン124、126、128、および130、ならびにガス分配器132を備えることができるが、前駆体分配システムは図1に例示しない別の構成要素、例えば別のガスライン、バルブ、アクチュエータ、シール、および発熱体を備えることができることに留意されたい。
前駆体分配システムに加えて、例示的な浸透装置100はまた、反応チャンバー102からガスを除去するように構成および配置される除去システムを備えることができる。いくつかの実施形態では、除去システムは、反応チャンバー102の壁内に配置される排気口134と、排気口134と流体連通する排気ライン136と、排気ライン136と流体連通し反応チャンバー102内からガスを排気するように構成される真空ポンプ138とを備えることができる。一つまたは複数のガスが真空ポンプ138を利用して反応チャンバー102から排出されると、それらは別の排気ライン140に沿って運ばれて例示的な浸透装置100を出ることができ、そこで更なる削減プロセスを受けることができる。
反応チャンバー102内からの前駆体ガス、すなわち反応性蒸気の除去を更に助けるために、除去システムは、ガスライン128を介してガス分配器132に流体連通する供給源容器116を更に備えることができる。例えば、供給源容器116は、パージガス、例えばアルゴン(Ar)、窒素(N)、またはヘリウム(He)を収容および貯蔵するように構成されてもよい。供給源容器116に関連付けられた流量コントローラ120Cおよびバルブ122Cは、ガスライン128を通ってガス分配器132へ、そして反応チャンバー102内へと供給されるパージガスの流量、特に質量流量を制御することができる。パージガスは、反応チャンバー102内から気相前駆体ガス、不活性ガス、および副生成物の除去を助け、特に、浸透性材料106の露出面から前駆体ガスおよび未反応副生成物を取り除くことができる。パージガス(および任意の関連する前駆体および副生成物)は、真空ポンプ138を利用して排気口134を経由して反応チャンバー102から出ることができる。
本開示のいくつかの実施形態では、例示的な浸透装置100は、前駆体分配システムおよび除去システムに動作可能に接続され、シーケンスコントローラ上で実行される場合に浸透性材料の浸透を実行するためのプログラムを備えたメモリを備えるシーケンスコントローラを更に備えることができる。
より詳細には、例示的な浸透装置100は、制御ライン144A、144B、および144Cも含むことができるシーケンスコントローラ142を備えることができ、制御ラインは浸透システム100の様々なシステムおよび/または構成要素をシーケンスコントローラ142に結合させることができる。例えば、制御ライン144Aは、シーケンスコントローラ142をガス送達システム112と結合させることができ、それによってガスライン124、126、128、および130、ならびにガス分配器132を含む前駆体分配システムを制御することができる。制御ライン144Bは、シーケンスコントローラ142を反応チャンバー102と結合させ、それによって、プロセス圧力およびサセプタ温度を含むがこれらに限定されない反応チャンバーの動作を制御することができる。制御ライン144Cは、ガス除去システムの動作および制御がシーケンスコントローラ142によって提供されることができるように、シーケンスコントローラ142を真空ポンプ138と結合させることができる。
図1に例示するように、シーケンスコントローラ142は3本の制御ライン144A、144B、および144Cを備えるが、当然のことながら多数の制御ライン、即ち電気的および/または光学的に接続した制御ラインを利用して、浸透装置100を備える所望のシステムおよび構成要素をシーケンスコントローラ142と連結し、それによって浸透装置100全体を制御することができることに留意されたい。
本開示のいくつかの実施形態では、シーケンスコントローラ142は、例示的な浸透装置100に含まれるバルブ、ヒーター、流量コントローラ、マニホールド、ポンプ、および他の機器を選択的に操作するための電子回路を備えることができる。このような回路および構成要素は、前駆体ガスおよびパージガスをそれぞれの前駆体源114A、114B、反応物質供給源容器118、およびパージガス供給源容器116から導入するように動作する。シーケンスコントローラ142はまた、前駆体パルスシーケンスのタイミング、基材および反応チャンバーの温度、ならびに反応チャンバーの圧力、ならびに浸透装置100を適切に動作させるために必要な他の様々な動作を制御することができる。いくつかの実施形態では、シーケンスコントローラ142はまた、反応チャンバー102内に入り、そこから出て行く前駆体およびパージガスの流量を制御するための制御ソフトウェアおよび電気的または空気圧で制御されるバルブを備えることができる。本開示のいくつかの実施形態では、シーケンスコントローラ142は、シーケンスコントローラ上で実行される場合、浸透性材料の浸透を実行するためのプログラムを備えたメモリ144を備えることができる。例えば、シーケンスコントローラ142は、モジュール、例えば特定の浸透プロセスを行うソフトウェアまたはハードウェアコンポーネント、例えばFPGAもしくはASICを備えることができる。モジュールは、シーケンスコントローラ142のアドレス指定可能な記憶媒体上に常駐するように構成されることができ、一つまたは複数の浸透プロセスを実行するように構成されることができる。
本開示のいくつかの実施形態では、シーケンスコントローラ142のメモリ144は、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて、第一の前駆体の蒸気を反応チャンバー102内の基材104上の浸透性材料106に供給し、それにより反応チャンバー102内の基材104上の浸透性材料106に第一の前駆体の蒸気と浸透性材料106との反応によってシリコン原子を浸透させること、によって浸透性材料106の浸透を実行するようにプログラムを備えることができる。
本開示のいくつかの実施形態では、例示的な浸透装置100は、第二の前駆体源114B、例えば第二の前駆体蒸発器を備えることができる。より詳細には、第二の前駆体源114Bは、シリコン化合物を含む第二の前駆体の蒸気を供給するように構成および配置されてもよい。例えば、第二の前駆体源114Bは、シリコン化合物を含む第二の前駆体を蒸発させるように構成および配置されることができる第二の前駆体蒸発器を備えることができる。いくつかの実施形態では、第二の前駆体源114Bは、第一の前駆体源114Aと同一または実質的に同一であってもよく、したがって、第二の前駆体源114Bに関する詳細は、簡潔のために省略される。
いくつかの実施形態では、前駆体分配システムおよび除去システムは、反応チャンバー102に第二の前駆体源114Bから第二の前駆体の蒸気を供給するように構成および配置されてもよい。例えば、ガスライン126は、流量コントローラ120Bおよびバルブ122Bを介して第二の前駆体源114Bに流体連通することができ、第二の前駆体の蒸気を第二の前駆体源114Bからガス分配器132に、続いて反応チャンバー102内に供給することができる。いくつかの実施形態では、メモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて、第二の前駆体の蒸気を反応チャンバー102に供給し、それにより基材104上の浸透性材料106に第二の前駆体の蒸気からのシリコン原子を浸透させることができること、によって浸透性材料106の浸透を実行するようにプログラムされることができる。
本開示のいくつかの実施形態では、第二の前駆体源114Bは、第一の前駆体源114Aに関連して本明細書に前記したように、シリコン前駆体、すなわち、シリコン含有化合物のいずれかの蒸気を供給するように構成および配置されることができる。いくつかの実施形態では、第二の前駆体源114Bは、第一の前駆体源114Aとは異なるシリコン化合物の蒸気を供給するように構成および配置されることができ、すなわち、第二の前駆体源114Bは、第一の前駆体源114Aによって供給される第一のシリコン前駆体の蒸気とは異なる場合がある第二のシリコン前駆体の蒸気を供給するように構成および配置されることができる。非限定的な例として、第一の前駆体源114Aは、APTESを蒸発させ、APTESの蒸気を反応チャンバー102に供給するように構成および配置されてもよく、第二の前駆体源114Bは、HCDSを蒸発させ、HCDSの蒸気を反応チャンバー102に供給するように構成および配置されてもよい。
本開示のいくつかの実施形態では、メモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて第一の前駆体と同時に第二の前駆体を供給する、すなわち、第一の前駆体源114Aおよび第二の前駆体源114Bの両方は、第二の前駆体の蒸気および第一の前駆体の蒸気を同時に反応チャンバー102に供給することができ、基材104上に配置される浸透性材料106は、第二の前駆体、すなわち第二のシリコン化合物の蒸気、および第一の前駆体、すなわち第一のシリコン化合物の蒸気の両方によって同時に浸透されることができること、によって浸透性材料106の浸透を実行するようにプログラムされることができる。
本開示のいくつかの実施形態では、メモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて、第一の前駆体の後に第二の前駆体を供給する、即ち、第一の前駆体源114Aは、第一の前駆体の蒸気を反応チャンバー102内に供給し、浸透性材料106に第一の前駆体を浸透させることができ、続いて、第二の前駆体源114Bは、第二の前駆体の蒸気を反応チャンバー102に供給し、浸透性材料106に第二の前駆体を浸透させることができること、によって浸透性材料106の浸透を実行するようにプログラムされることができる。
いくつかの実施形態では、シーケンスコントローラ142は、前駆体分配システムおよび除去システムを作動させて第二の前駆体の後に第一の前駆体を供給するためにメモリ144上でプログラムを実行してもよい。即ち、第二の前駆体源114Bは、第二の前駆体の蒸気を反応チャンバー102に供給して浸透性材料106に第二の前駆体の蒸気を浸透させることができ、続いて、第一の前駆体源114Aは第一の前駆体の蒸気を反応チャンバー102に供給して浸透性材料106に第一の前駆体の蒸気を浸透させることができる。
本開示のいくつかの実施形態では、メモリ144に搭載されたプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて第一の前駆体を反応チャンバー102に供給し、続いて過剰の第一の前駆体およびあらゆる副生成物を反応チャンバーから除去するためにパージサイクルを行い、そしてその後第二の前駆体を反応チャンバーに供給し、続いて過剰の第二の前駆体およびあらゆる副生成物を反応チャンバーから除去するために第二のパージサイクルを行うこと、によって浸透性材料106の浸透を実行するようにプログラムされることができる。
より詳細には、シーケンスコントローラ142のメモリ144内に搭載されたプログラムは、最初に第一の前駆体源114Aを作動させ、反応チャンバー102に第一の前駆体の蒸気を供給して浸透性材料106に第一の前駆体の蒸気を浸透させることができる。その後、第一の前駆体源114Aの作動を停止させ、第一の前駆体源114Aと反応チャンバー102との間の反応チャンバー102への流体連通を、例えば第一の前駆体源114Aに関連付けられたバルブ122Aによって解除されることができる。第一の前駆体源114Aの作動を停止させ、そして反応チャンバー102から分離すると、シーケンスコントローラ142のメモリ144に搭載されたプログラムは、真空ポンプ138と係合させし、または係合させ続けて、第一の前駆体の過剰な蒸気およびあらゆる副生成物を反応チャンバー102から排出させることができる。更なる実施形態では、真空ポンプ138を利用して第一の前駆体の過剰な蒸気およびあらゆる副生成物を反応チャンバー102から排出することに加えて、シーケンスコントローラ142のメモリ144に搭載されたプログラムはパージガスの供給源を含む供給源容器116を、例えば、供給源容器116に関連付けられたバルブ122Cを開くことによって作動させることができる。パージガスは、ガス分配器132を介してガスライン128を通って反応チャンバー102内に流れ込み反応チャンバー102をパージし、特に基材104上に配置された浸透性材料106をパージすることができる。シーケンスコントローラ142のメモリ144に搭載されたプログラムは、その後、反応チャンバー102を通るパージガスの流れを停止させ、続いて第二の前駆体源114Bを作動させ、それによって第二の前駆体の蒸気を反応チャンバー102に供給し、特に浸透性材料106を第二の蒸気源114Bによって供給される第二の前駆体蒸気で浸透させることができる。シーケンスコントローラ142のメモリ144に搭載されたプログラムは、続いて第二の前駆体の蒸気の反応チャンバー102への流れを停止させ、続いて供給源容器116を作動させて反応チャンバーを再びパージする、例えば第二の前駆体の過剰な蒸気を除去することができる。
本開示のいくつかの実施形態では、メモリ144に搭載されたプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて第二の前駆体の蒸気を反応チャンバーに供給し、続いて第二の前駆体の過剰な蒸気およびあらゆる副生成物を反応チャンバーから除去するためにパージサイクルを行い、その後第一の前駆体の蒸気を反応チャンバーに供給し、続いて第一の前駆体の過剰な蒸気およびあらゆる副生成物を反応チャンバーから除去するためにパージサイクルを行うこと、によって浸透性材料106の浸透を実行するようにプログラムされることができる。
本開示の別の実施形態では、例示的な浸透装置100は、順次浸透合成(SIS)装置を備えてもよい。例えば、逐次浸透合成(SIS)装置は、浸透性材料を二つ以上の気相前駆体に交互に自己制御的に曝すように構成および配置されることができる。したがって、第一の前駆体源114Aおよび第二の前駆体源114Bに加えて、例示的な浸透装置100は、反応物質供給源容器118および反応物質供給ライン、即ち、酸素前駆体を含む反応物質を反応チャンバー102に供給するように構成および配置されるガスライン130を更に備えることができる。
本開示のいくつかの実施形態では、反応物質供給源容器118は、固相の、液相の、または気相の反応物質を含むことができる。いくつかの実施形態では、反応物質供給源容器118は反応物質蒸発器を備えることができ、即ち、一つまたは複数の発熱体が反応物質供給源容器と関連付けられ、反応物質の蒸発を可能にし、それによって酸素前駆体を含む気化反応物質を反応チャンバー102に供給することができる。いくつかの実施形態では、酸素前駆体を含む蒸気反応物質の反応チャンバーへの流量の制御は、共に反応物質供給源容器118に関連付けられたバルブ122Dおよび流量コントローラ120Dを使用することによって達成されることができる。反応物質供給源容器118が反応物質蒸発器を更に備える本開示のいくつかの実施形態では、反応物質蒸発器は、酸素前駆体を含む反応物質として水(HO)または過酸化水素(H)のうちの少なくとも一つを蒸発させるように構成および配置されることができる。
本開示のいくつかの実施形態では、反応物質供給源容器118は、反応物質供給ライン130およびガス分配器132を介して気体の酸素前駆体を反応チャンバー102に貯蔵および分配することができる。いくつかの実施形態では、気体の酸素前駆体は、オゾン(O)または分子状酸素(O)のうちの少なくとも一つを含むことができる。
本開示のいくつかの実施形態では、例示的な浸透装置100は、気体の酸素前駆体からプラズマを発生させ、それによって原子状酸素、酸素イオン、酸素ラジカル、および酸素の励起種のうちの一つまたは複数を反応チャンバー102に供給するように構成および配置されるプラズマ発生装置146を必要に応じてさらに備えることができ、それによって、プラズマ発生装置146によって生成される酸素系プラズマは、基材104の上に配置される浸透性材料106と反応することができる。
本開示のいくつかの実施形態では、例示的な浸透装置100は、酸素前駆体を含む反応物質を反応チャンバー102に供給するように構成および配置される反応物質供給源容器118および反応物質供給ライン130を更に備える逐次浸透合成装置とすることができ、シーケンスコントローラ142のメモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて反応チャンバー102からガスを除去すること、および前駆体分配システムおよび除去システムを作動させて酸素前駆体を含む反応物質を反応チャンバー102に供給すること、それによって、反応チャンバー102内の基材104上の浸透性材料106は、第一の前駆体および酸素前駆体を含む反応物質と浸透性材料106との反応によってシリコン原子および酸素原子を浸透させることにより、浸透性材料106の浸透を実行するようにプログラムされることができる。いくつかの実施形態では、第一の前駆体を供給し、続いて反応物質を供給するプログラムシーケンスを、一回または複数回繰り返すことができる。いくつかの実施形態では、プログラムシーケンスの各工程の後に、真空ポンプ138を利用して反応チャンバー102を排気し、必要に応じて供給源容器116からパージガスを流すことによって反応チャンバーから過剰な前駆体および副生成物を除去するパージサイクルを行うことができる。
本開示のいくつかの実施形態では、メモリ144に搭載されたプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて、酸素前駆体を反応物質供給源容器118から反応チャンバーに、続いて第一の前駆体の蒸気を第一の前駆体源114Aから反応チャンバー102に供給し、それにより浸透性材料にシルコンおよび酸素原子の両方を浸透させること、により浸透性材料106の逐次浸透合成を実行するようにプログラムされることができる。いくつかの実施形態では、酸素前駆体を供給し、続いて第一の前駆体の蒸気を供給するプログラムシーケンスを、一回または複数回繰り返すことができる。いくつかの実施形態では、プログラムシーケンスの各工程の後に、真空ポンプ138を利用して反応チャンバー102を排気し、必要に応じて供給源容器116からパージガスを流すことによって反応チャンバーから過剰な前駆体および副生成物を除去するパージサイクルを行うことができる。
本開示のいくつかの実施形態では、装置は逐次浸透合成装置を備え、第二の前駆体の蒸気を反応チャンバー102に供給するように構成および配置される第二の前駆体源114Bを更に備える。例えば、第二の前駆体源114Bは、シリコン化合物を含む第二の前駆体を蒸発させるように構成および配置される第二の前駆体蒸発器を備えることができる。いくつかの実施形態では、前駆体分配システムおよび除去システムは、第二の前駆体源114Bから第二の前駆体の蒸気を反応チャンバー102に供給するように構成および配置されてもよく、メモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて第二の前駆体を供給することによって浸透性材料の浸透を実行するようにプログラムされる。
本開示のいくつかの実施形態では、メモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて、第一の前駆体、続いて反応物質、続いて第二の前駆体、そして続いて反応物質を供給すること、によって浸透性材料106の浸透を実行するようにプログラムされる。
本開示のいくつかの実施形態では、メモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて、第一の前駆体、続いて反応物質、続いて第二の前駆体、そして続いて反応物質を供給することを複数回繰り返すこと、によって浸透性材料106の浸透を実行するようにプログラムされることができる。
本開示のいくつかの実施形態では、メモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて、第一の前駆体、続いて反応物質、続いて第二の前駆体、そして続いて反応物質を供給する各工程の間に、前駆体および/または反応物質を反応チャンバーから除去すること、によって浸透性材料106の浸透を実行するようにプログラムされることができる。
本開示のいくつかの実施形態では、メモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて、第一の前駆体を供給し、続いて第二の前駆体を供給し、そして続いて反応物質を供給すること、によって浸透性材料106の浸透を実行するようにプログラムされることができる。いくつかの実施形態では、第一の前駆体を供給し、続いて第二の前駆体を供給し、そして続いて反応物質を供給するプログラムシーケンスを、一回または複数回繰り返すことができる。いくつかの実施形態では、プログラムシーケンスの各工程の後に、真空ポンプ138を利用して反応チャンバー102を排気し、必要に応じて供給源容器116からパージガスを流すことによって反応チャンバーから過剰な前駆体および副生成物を除去するパージサイクルを行うことができる。
本開示のいくつかの実施形態では、メモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて、第二の前駆体を供給し、続いて第一の前駆体を供給し、そして続いて反応物質を供給すること、によって浸透性材料106の浸透を実行するようにプログラムされることができる。いくつかの実施形態では、第二の前駆体を供給し、続いて第一の前駆体を供給し、そして続いて反応物質を供給するプログラムシーケンスを、一回または複数回繰り返すことができる。いくつかの実施形態では、プログラムシーケンスの各工程の後に、真空ポンプ138を利用して反応チャンバー102を排気し、必要に応じて供給源容器116からパージガスを流すことによって反応チャンバーから過剰な前駆体および副生成物を除去するパージサイクルを行うことができる。
本開示のいくつかの実施形態では、メモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて、第一の前駆体を供給し、続いて反応物質を供給し、そして続いて第二の前駆体を供給すること、によって浸透性材料106の浸透を実行するようにプログラムされることができる。いくつかの実施形態では、第一の前駆体を供給し、続いて反応物質を供給し、そして続いて第二の前駆体を供給するプログラムシーケンスを、一回または複数回繰り返すことができる。いくつかの実施形態では、プログラムシーケンスの各工程の後に、真空ポンプ138を利用して反応チャンバー102を排気し、必要に応じて供給源容器116からパージガスを流すことによって反応チャンバーから過剰な前駆体および副生成物を除去するパージサイクルを行うことができる。
本開示のいくつかの実施形態では、メモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて、反応物質を供給し、続いて第一の前駆体を供給し、続いて第二の前駆体を供給し、そして続いて反応物質を供給すること、によって浸透性材料106の浸透を実行するようにプログラムされることができる。いくつかの実施形態では、反応物質を供給し、続いて第一の前駆体を供給し、続いて第二の前駆体を供給し、そして続いて反応物質を供給するプログラムシーケンスを、一回または複数回繰り返すことができる。いくつかの実施形態では、プログラムシーケンスの各工程の後に、真空ポンプ138を利用して反応チャンバー102を排気し、必要に応じて供給源容器116からパージガスを流すことによって反応チャンバーから過剰な前駆体および副生成物を除去するパージサイクルを行うことができる。
本開示のいくつかの実施形態では、メモリ144内のプログラムは、シーケンスコントローラ142上で実行される場合、前駆体分配システムおよび除去システムを作動させて、反応物質を供給し、続いて第一の前駆体を供給し、続いて反応物質を供給し、そして続いて第二の前駆体を供給すること、によって浸透性材料106の浸透を実行するようにプログラムされることができる。いくつかの実施形態では、反応物質を供給し、続いて第一の前駆体を供給し、続いて反応物質を供給し、そして続いて第二の前駆体を供給するプログラムシーケンスを、一回または複数回繰り返すことができる。いくつかの実施形態では、プログラムシーケンスの各工程の後に、真空ポンプ138を利用して反応チャンバー102を排気し、必要に応じて供給源容器116からパージガスを流すことによって反応チャンバーから過剰な前駆体および副生成物を除去するパージサイクルを行うことができる。
本開示の実施形態はまた、浸透性材料を浸透させるための方法、および浸透性材料にシリコン原子を浸透させるための特定の方法を含むことができる。
したがって、本開示の実施形態は、浸透性材料を浸透させる方法を提供することができ、方法は、上に配置される浸透性材料を備える基材を反応チャンバー内に供給することと、シリコン化合物を含む第一の前駆体を、反応チャンバー内の浸透性材料に第一の期間(T)供給することであって、これにより反応チャンバー内の基材上に配置される浸透性材料にシリコン原子を浸透させる、供給することと、第二の期間(T)反応チャンバーをパージすることと、を含む。
例示的な浸透プロセス200が図2に例示されており、浸透プロセス200は、上に配置される浸透性材料を備える基材を反応チャンバー内に供給することを含むプロセスブロック210によって進行することができる。基材は、以前に開示されたように、内部に一つまたは複数の材料を備えることができ、平面またはパターン形成された基材を備えることができる。いくつかの実施形態では、浸透性材料は、フォトレジスト、極紫外線(EUV)レジスト、液浸レジスト、化学増幅レジスト(CAR)、電子ビームレジスト、多孔質材料、またはハードマスク材料、例えば、酸化ケイ素、窒化ケイ素、または酸窒化ケイ素のうちの少なくとも一つを含む。
例示的な浸透プロセス200は、シリコン化合物を含む第一の前駆体を、反応チャンバー内の浸透性材料に第一の期間(T)供給することを含むプロセスブロック220によって継続することができ、これにより、反応チャンバー内の基材上に配置される浸透性材料にシリコン原子を浸透させる。第一の前駆体は、気相シリコン化合物を含むことができ、および本明細書に以前に記載のシリコン化合物のいずれかを含むことができる。いくつかの実施形態では、第一の前駆体は、アミノシラン、エトキシシラン、メトキシシラン、またはハロゲン化シリコンのうちの少なくとも一つを含む。いくつかの実施形態では、第一の前駆体は、3-アミノプロピルトリエトキシシラン(APTES)またはヘキサクロロジシラン(HCSD)のうちの少なくとも一つを含む。いくつかの実施形態では、第一の期間(T)、すなわち、第一の前駆体が浸透性材料に供給され、接触する期間は、約25ミリ秒~約10時間であってもよい。
例示的な浸透プロセス200は、反応チャンバーを一定期間(T)パージすることを含むプロセスブロック230によって継続することができる。例えば、反応チャンバーは、真空ポンプを利用して反応チャンバーから過剰な第一の前駆体(および任意の反応副生成物)を排出することによってパージされることができる。さらに、パージプロセスはまた、過剰な前駆体ガスの排出を支援するために、パージガスを反応チャンバー内に供給することを含むことができる。いくつかの実施形態では、反応チャンバーは、約25ミリ秒から約10時間の期間(T)パージされることができる。
例示的な浸透プロセス200は、決定ゲート240で継続することができ、決定ゲート240は、浸透性材料中に浸透したシリコンの原子パーセント(原子%)に依存することができる。不十分なシリコン原子が浸透性材料中に浸透する場合、例示的なプロセス200はプロセスブロック220に戻ることができ、浸透性材料は、第一のシリコン前駆体を浸透性材料に供給し、続いてプロセスブロック230を行うことにより、第一のシリコン前駆体に再び曝されることができ、反応チャンバーから過剰な前駆体および副生成物が除去される。したがって、開示のいくつかの実施形態は、第一の前駆体を供給する工程と、続いて反応チャンバーをパージする工程とを、所望の原子%のシリコン原子が浸透性材料中に浸透されるまで1回または複数回繰り返すことを含むことができる。所望の原子%のシリコン原子が浸透性材料中に浸透すると、例示的なプロセスは、プロセスブロック250を介して終了することができる。例えば、例示的な浸透プロセスは、シリコン原子の原子%が0.1%を超える、または5%を超える、または15%を超える、または50%を超える、または75%を超える、またはさらに約100%である浸透性材料を作製することができる。いくつかの実施形態では、浸透プロセスは、15%を超える原子%のシリコン原子が浸透した浸透性材料を作製することができる。いくつかの実施形態では、浸透したシリコン原子は、浸透性材料内に均一に分布することができる。いくつかの実施形態では、浸透したシリコン原子は、浸透性材料内に不均一に分布していてもよい。
別の例示的な浸透プロセス300は、図3を参照して説明することができ、例示的な浸透プロセス300は、上に配置される浸透性材料を備える基材を反応チャンバー内に供給することを含むプロセスブロック310によって進行することができる。プロセスブロック310は、図2のプロセスブロック210と同等である。したがって、本明細書ではより詳細には説明されない。
例示的な浸透プロセス300は、シリコン化合物を含む第一の前駆体を、反応チャンバー内の浸透性材料に第一の期間(T)供給することを含むプロセスブロック320によって継続することができ、これにより、反応チャンバー内の基材上に配置される浸透性材料にシリコン原子を浸透させる。プロセスブロック320は、図2のプロセスブロック220と同等である。したがって、本明細書ではより詳細には説明されない。
例示的な浸透プロセス300は、シリコン化合物を含む第二の前駆体を、反応チャンバー内の浸透性材料に第三の期間(T)供給することを含むプロセスブロック330によって継続することができ、これにより、反応チャンバー内の基材上に配置される浸透性材料にシリコン原子を浸透させる。例えば、第二の前駆体を供給し、および第二の前駆体を浸透性材料と接触させるための第三の期間(T)は、約25ミリ秒~約10時間であることができる。
本開示のいくつかの実施形態では、シリコン化合物を含む第二の前駆体は、本明細書で前記のシリコン化合物のいずれかを含むことができる。特定の実施形態では、第二の前駆体は、アミノシラン、エトキシシラン、メトキシシラン、またはハロゲン化シリコンのうちの少なくとも一つを含むことができる。いくつかの実施形態では、第二の前駆体は、3-アミノプロピルトリエトキシシラン(APTES)またはヘキサクロロジシラン(HCSD)のうちの少なくとも一つを含むことができる。
本開示のいくつかの実施形態では、第一の前駆体は、第二の前駆体とは異なる可能性があり、すなわち、第一の前駆体は第一のシリコン気相反応物質を含むことができ、第二の前駆体はまた、第一のシリコン気相反応物質とは異なる第二のシリコン気相反応物を含むことができる。
図3には二つの別個のプロセスブロックとして例示されているが、第一の前駆体を供給することを含むプロセスブロック320および第二の前駆体を供給することを含むプロセスブロック330は、同時に進行する可能性があり、すなわち、第一の前駆体および第二の前駆体は、反応チャンバー内の浸透性材料に同時に供給されることができ、それにより浸透性材料にシリコン原子を浸透させる。
別の実施形態では、第一の前駆体と第二の前駆体は浸透性材料に別々に供給されることができる、すなわち、第一の前駆体と第二の前駆体が浸透性材料に同時に接触しないように供給されることができる。このような実施形態では、第一の前駆体と第二の前駆体は浸透性材料に別々に供給され、例示的な浸透プロセスは、第一の前駆体を供給することと第二の前駆体を供給することとの間の反応チャンバーパージをさらに含むことができ、過剰な第一の前駆体(および任意の反応副生成物)は、第二の前駆体を浸透性材料に供給する前に反応チャンバーから除去されることができる。過剰な第二の前駆体および任意の反応副生成物を除去するために、第二の前駆体を供給した後、別の反応チャンバーパージを実施することができる。第一の前駆体と第二の前駆体が浸透性材料に別々に供給されるこのような実施形態では、前駆体の供給の順序は、第二の前駆体が最初に浸透性材料に供給され、続いて第一の前駆体が供給され、必要に応じて反応チャンバーが供給工程間でパージされてもよい、ことに留意されたい。
例示的な浸透プロセス300は、第二の前駆体を浸透性材料に供給した後、第四の期間(T)反応チャンバーをパージすることを含むプロセスブロック340によって進行することができる。例えば、反応チャンバーから過剰な前駆体を除去するために利用される第四の期間(T)は、約25ミリ秒~約10時間であることができる。
例示的な浸透プロセス300は、決定ゲート350で継続することができ、決定ゲート350は、浸透性材料中に浸透したシリコンの原子パーセント(原子%)に依存することができる。不十分なシリコン原子が浸透性材料中に浸透する場合、例示的なプロセス300はプロセスブロック320に戻ることができ、浸透性材料は、第一のシリコン前駆体(プロセスブロック320)および第二の前駆体(プロセスブロック330)(任意の介在反応チャンバーパージを伴う)に再び曝され、続いてプロセスブロック340を行い、反応チャンバーから過剰な前駆体および反応副生成物を取り除く。したがって、本明細書に開示の方法は、第一の前駆体を供給する工程、続いて反応チャンバーをパージする工程、続いて第二の前駆体を供給する工程、続いて反応チャンバーをパージする工程を、すなわち、所望の原子%のシリコンが浸透性材料中に浸透するまで、1回または複数回繰り返すことを含むことができる。
所望の原子%のシリコン原子が浸透性材料中に浸透すると、例示的なプロセス300はプロセスブロック360を介して終了することができる。
特にいかなる理論に拘束されることはないが、第一のシリコン前駆体および第二の異なるシリコン前駆体を浸透性材料に供給することを含む本開示の方法は、より大きな原子%のシリコン原子の浸透をもたらす可能性があると考えられる。例えば、例示的な浸透プロセス300は、シリコン原子の原子%が0.1%を超える、または5%を超える、または15%を超える、または50%を超える、または75%を超える、またはさらに約100%である浸透性材料を作製することができる。いくつかの実施形態では、浸透プロセスは、15%を超える原子%のシリコン原子が浸透した浸透性材料を作製することができる。いくつかの実施形態では、浸透したシリコン原子は、浸透性材料内に均一に分布することができる。いくつかの実施形態では、浸透したシリコン原子は、浸透性材料内に不均一に分布していてもよい。
本開示の別の実施形態では、開示された方法は、交互に、浸透性材料をさらに二つの前駆体に曝すことを含むことができる逐次浸透合成(SIS)法を含むことができ、原子および/または材料を、浸透性材料、例えばポリマーレジストまたはハードマスク材料中へ浸透させることができる。
したがって、本開示の別の実施形態は、例示的なSISプロセス400を例示する図4を参照して説明することができる。より詳細には、例示的なSISプロセスは、上に配置される浸透性材料を備える基材を反応チャンバー内に供給することを含むプロセスブロック410によって開始することができる。プロセスブロック410は、図2のプロセス210と同等である。したがって、本明細書ではより詳細に説明されない。
例示的なSISプロセス400は、一つまたは複数のSISサイクル405を実行することによって進行することができ、SISサイクルは、シリコン化合物を含む第一の前駆体を、反応チャンバー内の浸透性材料に第一の期間(T)供給することを含むプロセスブロック420によって進行することができ、これにより、反応チャンバー内の基材上に配置される浸透性材料にシリコン原子を浸透させる。プロセスブロック420は、図2のプロセスブロック220と同等である。したがって、本明細書ではより詳細に説明されない。
例示的なSISプロセス400のSISサイクル405は、酸素前駆体を含む反応物質を、反応チャンバー内で浸透性材料に第五の期間(T)供給することを含むプロセスブロック430によって進行することができ、これにより、反応チャンバー内の基材上に配置される浸透性材料に酸素原子を浸透させる。
より詳細には、いくつかの実施形態では、酸素前駆体を含む反応物は、少なくとも一つの蒸気もしくは水の蒸気(HO)、または過酸化水素(H)の蒸気を含むことができる。いくつかの実施形態では、酸素前駆体はオゾン(O)、または分子状酸素(O)を含むことができる。本開示のいくつかの実施形態では、酸素前駆体を含む反応物質は、酸素原子、酸素イオン、酸素ラジカル、および酸素含有ガス、例えばオゾン(O)または分子状酸素(O)のうちの少なくとも一つのプラズマ励起によって生成される酸素の励起種を含む酸素系プラズマを含むことができる。例えば、いくつかの実施形態では、方法は、酸素前駆体を含む反応物質を、浸透性材料に約25ミリ秒~約10時間の間の第五の期間(T)供給することを含むことができる。
本開示のいくつかの実施形態では、第一の前駆体を供給するプロセスブロック420および反応物質を供給するプロセスブロック430は、反応チャンバーから過剰な前駆体および反応副生成物を除去するために、反応チャンバーパージによって分離されることができる。さらに、反応物質を供給するプロセスブロック430の後に、別の反応チャンバーパージを行って、過剰な反応物質および反応副生成物を除去することができる。図4に例示されるプロセスのシーケンスは、酸素前駆体を含む反応物質が、最初に浸透性材料に供給され、続いて、第一の前駆体を浸透性材料に供給することができるように変更されることができることも留意されたい。
例示的なSISプロセス400のSISサイクル405は、決定ゲート440で継続することができ、決定ゲート440は、浸透性材料中に浸透したシリコンの原子パーセント(原子%)および浸透性材料中に浸透した酸素の原子パーセント(原子%)に依存することができる。不十分なシリコン原子および酸素原子が浸透性材料中に浸透する場合、例示的なSISプロセス400のSISサイクル405は、プロセスブロック420に戻ることによって繰り返されことができ、浸透性材料は、第一のシリコン前駆体(プロセスブロック420)および酸素前駆体を含む反応物質(プロセスブロック430)に再び曝されることができ、各個々のプロセスブロックの後に必要に応じて反応チャンバーがパージされる。
したがって、いくつかの実施形態では、例示的なSISプロセス400のユニットSISサイクル405は、シリコン化合物を含む第一の前駆体を供給することと、反応チャンバーをパージすることと、酸素前駆体を含む反応物質を供給することと、反応チャンバーをパージすることと、を含むことができる。別の実施形態では、例示的なSISプロセス400のユニットSISサイクル405は、酸素前駆体を含む反応物質を供給することと、反応チャンバーをパージすることと、シリコン化合物を含む第一の前駆体を供給すること、および反応チャンバーをパージすること、を含むことができる。
所望の原子%のシリコン原子および酸素原子が浸透性材料中に浸透すると、例示的なSISプロセス400は、プロセスブロック450を介して終了することができる。
本開示の別の実施形態は、例示的なSISプロセス500を例示する図5を参照して説明することができる別の逐次浸透合成(SIS)法を含むことができる。より詳細には、例示的なSISプロセス500は、上に配置される浸透性材料を備える基材を反応チャンバー内に供給することを含むプロセスブロック510によって開始することができる。プロセスブロック510は、図2のプロセス210と同等である。したがって、本明細書ではより詳細に説明されない。
例示的なSISプロセス500は、シリコン化合物を含む第一の前駆体を、反応チャンバー内の浸透性材料に第一の期間(T)供給することを含むプロセスブロック520によって開始することができる、SISサイクル505を進行することができ、これにより、反応チャンバー内の基材上に配置される浸透性材料にシリコン原子を浸透させる。プロセスブロック520は、図2のプロセスブロック220と同等である。したがって、本明細書ではより詳細に説明されない。
例示的なSISプロセス500のSISサイクル505は、シリコン化合物を含む第二の前駆体を浸透性材料に供給することを含むプロセスブロック530によって継続することができ、第二の前駆体は第一の前駆体とは異なる。プロセスブロック530は、図3のプロセスブロック330と同等である。したがって、本明細書ではより詳細に説明されない。
例示的なSISプロセス500のSISサイクル505は、酸素前駆体を含む反応物質を浸透性材料に供給することを含むプロセスブロック540によって継続することができる。プロセスブロック540は、図4のプロセスブロック430と同等である。したがって、本明細書ではより詳細に説明されない。
例示的なSISプロセス500のSISサイクル505は、決定ゲート550で継続することができ、決定ゲート550は、浸透性材料中に浸透したシリコンの原子パーセント(原子%)および浸透性材料中に浸透した酸素の原子パーセント(原子%)に依存することができる。不十分なシリコン原子および酸素原子が浸透性材料中に浸透する場合、SISサイクル505は、プロセスブロック520に戻ることによって繰り返されことができ、浸透性材料は、第一のシリコン前駆体に再び曝され(プロセスブロック520)、第二のシリコン前駆体に再び曝され(プロセスブロック530)、および酸素前駆体を含む反応物質に再び曝される(プロセスブロック540)ことができる。所望の原子%のシリコン原子および酸素原子が浸透性材料中に浸透すると、例示的なSISプロセス500は、プロセスブロック560を介して終了することができる。
したがって、本明細書に開示される方法は、一つまたは複数の逐次浸透合成(SIS)サイクル505を実行することを含むことができ、単位SISサイクルは、シリコン化合物を含む第一の前駆体を浸透性材料に提供することと、第一の前駆体とは異なるシリコン化合物を含む第二の前駆体を供給することと、酸素前駆体を含む反応物質を浸透性材料に供給することと、を含むことができる。
いくつかの実施形態では、SISサイクルの各工程の後に、連続するプロセス工程の間に過剰な前駆体/反応種を除去するための反応チャンバーパージを行う場合がある。非限定的な例として、例示的な単位SISサイクルは、第一の前駆体を供給することと、反応チャンバーをパージすることと、第二の前駆体を供給することと、反応チャンバーをパージすることと、酸素前駆体を含む反応物質を供給することと、反応チャンバーをパージすることと、を含むことができ、SISサイクルは1回または複数回繰り返されることができる。
本開示のいくつかの実施形態では、例示的なSISプロセス500の単位SISサイクルを含む一連のプロセスは、別の順序で実行されることができる。いくつかの実施形態では、単位SISサイクルは、第二の前駆体を供給することと、反応チャンバーをパージすることと、第一の前駆体を供給することと、反応チャンバーをパージすることと、酸素前駆体を含む反応物質を供給することと、反応チャンバーをパージすることと、を含むことができ、SISサイクルは1回または複数回繰り返されることができる。いくつかの実施形態では、単位SISサイクルは、第一の前駆体を供給することと、反応チャンバーをパージすることと、反応物質を供給することと、反応チャンバーをパージすることと、第二の前駆体を供給することと、反応チャンバーをパージすることと、を含むことができる。いくつかの実施形態では、単位SISサイクルは、第一の前駆体を供給することと、反応チャンバーをパージすることと、反応物質を供給することと、反応チャンバーをパージすることと、第二の前駆体を供給することと、反応チャンバーをパージすることと、反応物質を供給することと、反応チャンバーをパージすることと、を含むことができる。いくつかの実施形態では、単位SISサイクルは、反応物質を供給することと、反応チャンバーをパージすることと、第一の前駆体を供給することと、反応チャンバーをパージすることと、第二の前駆体を供給することと、反応チャンバーをパージすることと、反応物質を供給することと、反応チャンバーをパージすることと、を含むことができる。いくつかの実施形態では、単位SISサイクルは、反応物質を供給することと、反応チャンバーをパージすることと、第一の前駆体を供給することと、反応チャンバーをパージすることと、反応物質を供給することと、反応チャンバーをパージすることと、第二の前駆体を供給することと、反応チャンバーをパージすることと、を含むことができる。
本明細書に開示される浸透装置および浸透方法の能力を説明する非限定的な例として、図6は、本明細書に開示の浸透装置および浸透プロセスを利用して、シリコン原子を浸透させた極紫外線(EUV)化学増幅レジストから得られるX線光電子スペクトル(XPS)を例示する。より詳細には、EUV化学増幅レジストは、ヘキサクロロジシラン(HCDS)を含むシリコン前駆体を使用して浸透された。XPSスペクトル600の検査は、生データライン602および処理されたデータライン604を示し、処理されたデータライン604はいくつかの重要な特徴を示す。例えば、604Aと表示されるデータのショルダーと604Bと表示されるピークは両方とも、浸透させたEUVレジスト中に酸化シリコンが存在することを示し、606と表示されるピークは浸透させたEUVレジスト中に元素シリコンが存在することを示す。したがって、本開示の実施形態は、シリコン原子を浸透性材料中に浸透させることができるだけでなく、いくつかの実施形態では、浸透性材料に酸化シリコンを浸透させることができる。図6に例示される実施例では、EUVレジストは、約6原子%の濃度までシリコン原子で浸透される。
本明細書に開示の浸透装置および浸透方法の能力を説明する別の非限定的な例として、図7は、本明細書に記載の浸透装置および浸透プロセスを利用して、シリコン原子を浸透させたEUV化学増幅レジスト膜から得られる二次イオン質量スペクトル(SIMS)700を例示する。より詳細には、EUV化学増幅レジスト膜は、3-アミノプロピルトリエトキシシラン(APTES)を含むシリコン前駆体を使用して浸透された。浸透させたEUVレジスト膜から得られたSIMSスペクトル700の検査は、膜中の炭素(C)成分を示すデータライン702を示し、これは有機EUVレジストに対応し、データライン704は膜中のシリコン(Si)成分を示し、これは、EUVレジストに浸透した複数のシリコン原子に対応する。EUVレジスト膜のシリコン成分を表すデータライン704は、シリコン原子がEUVレジスト膜全体に均一に分布していることを示す。この特定の例では、EUVにシリコン原子を約3原子%の濃度まで浸透させる。
本明細書に開示の浸透装置および浸透方法は、浸透させた材料、例えばポリマーレジストおよびハードマスク材料のエッチングプロセスに対する耐性を向上させるために使用されることができる。浸透させた材料は、例えば、パターン形成され浸透させた形体を下にある基材に転写するためのエッチングマスクとして使用することによって、半導体デバイス構造の製造に利用されることができる。
本開示の実施形態の非限定的な例として、図8は、基材802および浸透させたポリマーレジスト形体804を備える半導体デバイス構造800を例示する。より詳細には、基材802は、図1の基材104に関して前記の材料のいずれかを含むことができ、平面構造(図8に例示のような)または非平面構造をさらに含むことができる。いくつかの実施形態では、基材802は、製造された、または少なくとも部分的に製造された半導体デバイス構造、例えばトランジスタおよび/またはメモリ素子を備えることができる。
本開示のいくつかの実施形態では、浸透させたポリマーレジスト形体804は、基材802の表面上に配置されることができる。例えば、ポリマーレジスト形体は、標準的なフォトリソグラフィー法によって製造されることができ、標準的なフォトリソグラフィー法を利用して製造される可能性のある任意の形状または形体、例えばライン形体、ブロック形体、オープンポア形体、および円形形体を含むことができるが、これらに限定されない。いくつかの実施形態では、浸透させたポリマーレジスト804は、有機成分、および有機成分内に浸透した複数のシリコン(Si)原子を含む無機成分を含むことができる。いくつかの実施形態では、有機成分内の複数のシリコン原子の濃度は、0.1原子%を超える、または5原子%を超える、または15原子%を超える、または50原子%を超える、または75原子%を超える、またはさらに約100原子%であることができる。いくつかの実施形態では、有機成分を含む複数のシリコン原子の濃度は、約15原子%より大きくてもよい。
いくつかの実施形態では、有機成分内に浸透した複数のシリコン原子は、有機成分全体に均一に分布していてもよい。いくつかの実施形態では、有機成分内に浸透した複数のシリコン原子は、有機成分全体に不均一に分布していてもよい。
本開示のいくつかの実施形態では、有機成分は、有機成分中に浸透した複数の酸素原子をさらに含む。例えば、有機成分内の複数の酸素原子の濃度は、0.1原子%を超える、または5原子%を超える、または15原子%を超える、またはさらに50原子%を超えることができる。
本開示のいくつかの実施形態では、浸透したポリマーレジストの有機成分は、複数のシリコン原子および複数の酸素原子をさらに含むことができる。いくつかの実施形態では、浸透したポリマーレジストの有機成分は、浸透した酸化シリコン(Si)をさらに含むことができ、酸化シリコンは特定の化学量論に限定されない。例えば、複数のシリコン原子は、浸透したポリマーレジスト804の有機成分内に、元素シリコン(Si)としておよび酸化シリコン(Si)として配置されることができる。
上に記載した本開示の例示的実施形態は、添付の特許請求の範囲及びその法的等価物により定義される、本発明の実施形態の単なる例であるため、これらの実施形態によって本発明の範囲は限定されない。いかなる同等の実施形態も、本発明の範囲内にあることを意図している。実際に、記載した要素の代替の有用な組み合わせなど、本明細書に示し記載したものに加えて、本開示の様々な改変が、説明から当業者に明らかとなってもよい。このような改変及び実施形態もまた、添付の特許請求の範囲に入ると意図される。
100 浸透装置
102 反応チャンバー
104 基材
106 浸透性材料
108 サセプタ
110 発熱体
112 ガス送達システム
114、114A、114B 前駆体源
116 供給源容器
118 反応物質供給源容器
120A、120B、120C、120D 流量コントローラ
122A、122B、122C、122D バルブ
124、126、128、130 ガスライン
132 ガス分配器
134 排気口
136、140 排気ライン
138 真空ポンプ
142 シーケンスコントローラ
144A、144B、144C 制御ライン
144 メモリ
200、300 浸透プロセス
400、500 SISプロセス
405、505 SISサイクル
600 XPSスペクトル
602 生データライン
604 処理されたデータライン
604A ショルダー
604B ピーク
606 ピーク
700 SIMSスペクトル
702 データライン
800 半導体デバイス構造
802 基材
804 ポリマーレジスト形体

Claims (52)

  1. 浸透装置であって、
    上に浸透性材料を備える少なくとも一つの基材を保持するように構成および配置される反応チャンバーと、
    シリコン化合物を含む第一の前駆体の蒸気を供給するように構成および配置される第一の前駆体源と、
    酸素前駆体を含む反応物質を前記反応チャンバーへ提供するように構成及び配置される反応物質供給源容器及び反応物質供給ラインと、
    前記反応チャンバーに前記第一の前駆体源からの前記第一の前駆体の前記蒸気及び前記反応物質供給源容器からの前記酸素前駆体を含む前記反応物質を供給し、前記反応チャンバーから前記第一の前駆体の前記蒸気及び前記酸素前駆体を含む前記反応物質を除去するように構成および配置される、前駆体分配システムおよび除去システムと、
    シーケンスコントローラであって、前記前駆体分配システムおよび除去システムに動作可能に接続し、かつ前記シーケンスコントローラ上で実行される場合、
    前記前駆体分配システムおよび除去システムを作動させて、前記反応チャンバー内の前記基材上の前記浸透性材料に前記第一の前駆体の前記蒸気及び酸素前駆体を含む前記反応物質を供給し、これにより、前記反応チャンバー内の前記基材上の前記浸透性材料に、前記第一の前駆体の前記蒸気と前記浸透性材料との反応によってシリコン原子及び酸素原子を浸透させることにより、前記浸透性材料の浸透を実行させるための、プログラムを備えるメモリを備える、シーケンスコントローラと、を備える、装置。
  2. 前記第一の前駆体源は、置換されたシランの蒸気を供給するように構成および配置される、請求項1に記載の装置。
  3. 前記第一の前駆体源は、アミノシランの蒸気を供給するように構成および配置される、請求項2に記載の装置。
  4. 前記第一の前駆体源は、3-アミノプロピル成分及びシリコン成分の両方を含むシリコン前駆体の蒸気を供給するように構成および配置される、請求項1に記載の装置。
  5. 前記第一の前駆体源は、アルコキシド配位子およびアルコキシド配位子以外の別の配位子を含むシリコン前駆体の蒸気を供給するように構成および配置される、請求項1に記載の装置。
  6. 前記第一の前駆体源は、3-アミノプロピルトリエトキシシラン(APTES)の蒸気を供給するように構成および配置される、請求項1に記載の装置。
  7. 前記第一の前駆体源は、シリコン原子に結合するアミノ置換アルキル基を含むシリコン前駆体の蒸気を供給するように構成および配置される、請求項1に記載の装置。
  8. 前記第一の前駆体源は、3-アミノプロピルトリメトキシシラン(APTMS)の蒸気を供給するように構成および配置される、請求項1に記載の装置。
  9. 前記第一の前駆体源は、ハロゲン化物を含むシリコン化合物の蒸気を供給するように構成および配置される、請求項1に記載の装置。
  10. 前記第一の前駆体源は、ハロゲン化シリコン、ハロゲン化シラン、またはハロゲン化物を含むシランの蒸気を供給するように構成および配置される、請求項9に記載の装置。
  11. 前記シリコン化合物は塩化物を含む、請求項9に記載の装置。
  12. 前記第一の前駆体源は、ヘキサクロロジシラン(HCDS)、ジクロロシラン(DCS)、または四塩化ケイ素(SiCl)のうちの少なくとも一つの蒸気を供給するように構成および配置される、請求項11に記載の装置。
  13. 前記装置は、シリコン化合物を含む第二の前駆体の蒸気を供給するように構成および配置される第二の前駆体源を備え、前記前駆体分配システムおよび除去システムは、前記第二の前駆体源からの前記第二の前駆体の前記蒸気を前記反応チャンバーに供給するように構成および配置され、前記メモリ内の前記プログラムは、前記シーケンスコントローラ上で実行される場合、前記前駆体分配システムおよび除去システムを作動させて、前記第二の前駆体の前記蒸気を前記反応チャンバーに供給し、これにより、前記反応チャンバー内の前記基材上の前記浸透性材料に、前記第二の前駆体の前記蒸気からシリコン原子を浸透させることにより、前記浸透性材料の浸透を実行するようにプログラムされる、請求項1に記載の装置。
  14. 前記第二の前駆体源は、前記第一の前駆体とは異なるシリコン化合物の蒸気を供給するように構成および配置される、請求項13に記載の装置。
  15. 前記メモリ内の前記プログラムは、前記シーケンスコントローラ上で実行される場合、前記前駆体分配システムおよび前記除去システムを作動させて、前記第一の前駆体と同時に前記第二の前駆体を供給することにより、前記浸透性材料の浸透を実行するようにプログラムされる、請求項13に記載の装置。
  16. 前記メモリ内の前記プログラムは、前記シーケンスコントローラ上で実行される場合、前記前駆体分配システムおよび除去システムを作動させて、前記第一の前駆体の後に前記第二の前駆体を供給することにより、前記浸透性材料の浸透を実行するようにプログラムされる、請求項13に記載の装置。
  17. 前記第一の前駆体は3-アミノプロピルトリエトキシシラン(APTES)を含み、前記第二の前駆体はヘキサクロロジシラン(HCSD)を含む、請求項13に記載の装置。
  18. 前記反応物質供給源容器は、水(HO)または過酸化水素(H)のうちの少なくとも一つを蒸発させるように構成および配置される反応物質蒸発器をさらに備える、請求項1に記載の装置。
  19. 前記反応物質供給源容器は、オゾン(O)および分子状酸素(O)のうちの少なくとも一つを含むガス状酸素前駆体を含む、請求項1に記載の装置。
  20. 前記装置は、前記酸素前駆体からプラズマを生成するように構成および配置されるプラズマ発生器をさらに備え、それにより原子状酸素、酸素ラジカル、および酸素の励起種のうちの一つまたは複数を前記反応チャンバーに供給する、請求項1に記載の装置。
  21. 前記装置は、シリコン化合物を含む第二の前駆体の蒸気を蒸発させるように構成および配置される第二の前駆体源を備え、前記前駆体分配システムおよび除去システムは、前記第二の前駆体源からの前記第二の前駆体の前記蒸気を前記反応チャンバーに供給するように構成および配置され、前記メモリ内の前記プログラムは、前記シーケンスコントローラ上で実行される場合、前記前駆体分配システムおよび前記除去システムを作動させて、前記第二の前駆体の前記蒸気を供給することにより、前記浸透性材料の浸透を実行するようにプログラムされる、請求項1に記載の装置。
  22. 前記メモリ内の前記プログラムは、前記シーケンスコントローラ上で実行される場合、前記前駆体分配システムおよび前記除去システムを作動させて、前記第一の前駆体、続いて前記反応物質、続いて前記第二の前駆体、続いて前記反応物質を供給することにより、前記浸透性材料の浸透を実行するようにプログラムされる、請求項21に記載の装置。
  23. 前記メモリ内の前記プログラムは、前記シーケンスコントローラ上で実行される場合、前記前駆体分配システムおよび除去システムを作動させて、前記第一の前駆体、続いて前記反応物質、続いて前記第二の前駆体、続いて前記反応物質を複数回供給することを繰り返すことにより、前記浸透性材料の浸透を実行するようにプログラムされる、請求項21に記載の装置。
  24. 前記メモリ内の前記プログラムは、前記シーケンスコントローラ上で実行される場合、前記前駆体分配システムおよび前記除去システムを作動させて、前記第一の前駆体、続いて前記反応物質、続いて前記第二の前駆体、および続いて前記反応物質を供給する各工程間において前記反応チャンバーから前記前駆体および/または前記反応物質を除去することにより、前記浸透性材料の浸透を実行するようにプログラムされる、請求項21に記載の装置。
  25. 浸透性材料に浸透させる方法であって、
    上に配置される前記浸透性材料を備える基材を反応チャンバー内に供給することと、
    シリコン化合物を含む第一の前駆体を、前記反応チャンバー内の前記浸透性材料に第一の期間(T)供給することであって、これにより前記反応チャンバー内の前記基材上に配置される前記浸透性材料にシリコン原子を浸透させる、供給することと、
    前記反応チャンバーを第二の期間(T)パージすることと、
    酸素を含む第一の反応物質を前記反応チャンバー内の前記浸透性材料に第三の期間(T)供給し、それによって前記反応チャンバー内の前記基材上に配置された前記浸透性材料に酸素原子を浸透させることと、
    前記反応チャンバーを第四の期間(T)パージすることと、を含む、方法。
  26. 前記浸透性材料は、フォトレジスト、極端紫外線(EUV)レジスト、化学増幅レジスト(CAR)、電子線レジスト、液浸フォトレジスト、多孔質材料またはハードマスク材料のうちの少なくとも一つを含む、請求項25に記載の方法。
  27. 前記第一の前駆体は、アミノシラン、エトキシシラン、メトキシシラン、またはハロゲン化シリコンのうちの少なくとも一つを含む、請求項25に記載の方法。
  28. 前記第一の前駆体は、3-アミノプロピルトリエトキシシラン(APTES)、3-アミノプロピルトリエトキシシラン(APTES)、またはヘキサクロロジシラン(HCSD)のうちの少なくとも一つを含む、請求項27に記載の方法。
  29. 前記第一の期間(T)は、25ミリ秒~10時間である、請求項25に記載の方法。
  30. 前記第二の期間(T)は、25ミリ秒~10時間である、請求項25に記載の方法。
  31. 前記第一の前駆体を供給する工程と、続いて前記反応チャンバーをパージする工程とを、所望の原子%のシリコン原子が前記浸透性材料中に浸透されるまで1回または複数回繰り返すことをさらに含む、請求項25に記載の方法。
  32. 浸透された浸透性材料は、0.1%を超える原子%のシリコン原子を含む、請求項25に記載の方法。
  33. 前記浸透したシリコン原子は、前記浸透性材料内に均一に分布する、請求項25に記載の方法。
  34. 前記方法は、
    シリコン化合物を含む第二の前駆体を、前記反応チャンバー内で前記浸透性材料に第五の期間(T)供給することをさらに含み、これにより、前記反応チャンバー内の前記基材上に配置される前記浸透性材料にシリコン原子を浸透させる、請求項25に記載の方法。
  35. 前記第一の前駆体は前記第二の前駆体とは異なる、請求項34に記載の方法。
  36. 前記第一の前駆体および前記第二の前駆体を、前記反応チャンバー内の前記浸透性材料に同時に供給することをさらに含む、請求項34に記載の方法。
  37. 前記浸透性材料に前記第二の前駆体を供給した後に、前記反応チャンバーを第六の期間(T)パージすることをさらに含む、請求項34に記載の方法。
  38. 前記第一の前駆体を供給する工程、続いて前記反応チャンバーをパージする工程、続いて前記第二の前駆体を供給する工程、および続いて前記反応チャンバーをパージする工程を、1回または複数回繰り返すことをさらに含む、請求項37に記載の方法。
  39. 前記浸透された浸透性材料は、0.1%を超える原子%のシリコン原子を含む、請求項34に記載の方法。
  40. 前記第五の期間(T)は、25ミリ秒~10時間である、請求項34に記載の方法。
  41. 前記第六の期間(T)は、25ミリ秒~10時間である、請求項37に記載の方法。
  42. 前記第一の反応物質は、水(HO)、オゾン(O)、分子状酸素(O)、または過酸化水素(H)のうちの少なくとも一つの蒸気を含む、請求項25に記載の方法。
  43. 前記第一の反応物質は、酸素原子、酸素イオン、酸素ラジカル、および酸素の励起種を含む酸素系プラズマを含む、請求項25に記載の方法。
  44. 前記方法は、一つまたは複数の逐次浸透合成(SIS)サイクルを実行することをさらに含み、単位SISサイクルは、
    シリコン化合物を含む前記第一の前駆体を前記浸透性材料に供給することと、
    酸素を含む前記第一の反応物質を前記浸透性材料に供給することと、を含む、請求項25に記載の方法。
  45. 単位SISサイクルは、シリコン化合物を含む第二の前駆体を前記浸透性材料に供給することをさらに含み、前記第二の前駆体は前記第一の前駆体とは異なる、請求項44に記載の方法。
  46. 単位SISサイクルは、前記SISサイクルの各工程の間に前記反応チャンバーをパージすることをさらに含む、請求項44に記載の方法。
  47. 前記第三の期間(T)は、25ミリ秒~10時間である、請求項25に記載の方法。
  48. 半導体デバイス構造であって、
    基材と、
    前記基材の表面上に配置された浸透されたポリマーレジスト形体であって、前記浸透されたポリマーレジスト形体は、
    有機成分と、
    前記有機成分内に浸透した複数のシリコン(Si)原子を含む無機成分と、を含む、ポリマーレジスト形体と、を備え、
    前記複数のシリコン原子は、元素シリコン(Si)として、及び酸化シリコン(Si)として、前記有機成分内に配置される、半導体デバイス構造。
  49. 前記有機成分内に浸透した前記複数のシリコン原子の濃度は、0.1原子%を超える、請求項48に記載の構造。
  50. 前記有機成分内に浸透した前記複数のシリコン原子は、前記有機成分全体に均一に分布している、請求項48に記載の構造。
  51. 前記無機成分は、前記有機成分中に浸透した複数の酸素原子をさらに含む、請求項48に記載の構造。
  52. 前記浸透されたポリマーレジストは、フォトレジスト、極端紫外線(EUV)レジスト、液浸フォトレジスト、化学増幅レジスト(CAR)、または電子線レジストのうちの少なくとも一つを含む、請求項48に記載の構造。
JP2020565396A 2018-06-01 2019-05-29 浸透性材料に浸透させる浸透装置および方法 Active JP7420744B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/996,286 US20190368040A1 (en) 2018-06-01 2018-06-01 Infiltration apparatus and methods of infiltrating an infiltrateable material
US15/996,286 2018-06-01
PCT/IB2019/000729 WO2019229537A2 (en) 2018-06-01 2019-05-29 Infiltration apparatus and methods of infiltrating an infiltrateable material

Publications (2)

Publication Number Publication Date
JP2021525455A JP2021525455A (ja) 2021-09-24
JP7420744B2 true JP7420744B2 (ja) 2024-01-23

Family

ID=68172230

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020565396A Active JP7420744B2 (ja) 2018-06-01 2019-05-29 浸透性材料に浸透させる浸透装置および方法

Country Status (6)

Country Link
US (1) US20190368040A1 (ja)
JP (1) JP7420744B2 (ja)
KR (1) KR20210016349A (ja)
CN (1) CN112204166B (ja)
TW (1) TWI826451B (ja)
WO (1) WO2019229537A2 (ja)

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000031136A (ja) 1998-07-09 2000-01-28 Tokai Carbon Co Ltd プラズマ処理装置用保護部材
US20140263172A1 (en) 2013-03-14 2014-09-18 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
JP2016522979A (ja) 2013-03-14 2016-08-04 東京エレクトロン株式会社 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化
JP2017092475A (ja) 2015-11-12 2017-05-25 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
US20170166456A1 (en) 2015-12-11 2017-06-15 Uchicago Argonne, Llc Oleophilic foams for oil spill mitigation
JP2017112145A (ja) 2015-12-14 2017-06-22 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6071562A (en) * 1998-05-07 2000-06-06 Lsi Logic Corporation Process for depositing titanium nitride films
US6451512B1 (en) * 2000-05-01 2002-09-17 Advanced Micro Devices, Inc. UV-enhanced silylation process to increase etch resistance of ultra thin resists
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
EP1452624B1 (en) * 2002-10-24 2008-06-11 Goodrich Corporation Process and apparatus for batch and continuous densification by chemical vapor infiltration (CVI)
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
WO2006085898A1 (en) * 2004-05-14 2006-08-17 Becton, Dickinson & Company Articles having bioactive surfaces and solvent-free methods of preparation thereof
US7691443B2 (en) * 2005-05-31 2010-04-06 Goodrich Corporation Non-pressure gradient single cycle CVI/CVD apparatus and method
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8980418B2 (en) * 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
WO2014027472A1 (ja) * 2012-08-17 2014-02-20 株式会社Ihi 耐熱複合材料の製造方法及び製造装置
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP6249815B2 (ja) * 2014-02-17 2017-12-20 株式会社Ihi 耐熱複合材料の製造方法及び製造装置
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9673042B2 (en) * 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
JP6573578B2 (ja) * 2016-05-31 2019-09-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CA2974387A1 (en) * 2016-08-30 2018-02-28 Rolls-Royce Corporation Swirled flow chemical vapor deposition
JP6456893B2 (ja) * 2016-09-26 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、記録媒体および基板処理装置
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000031136A (ja) 1998-07-09 2000-01-28 Tokai Carbon Co Ltd プラズマ処理装置用保護部材
US20140263172A1 (en) 2013-03-14 2014-09-18 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
JP2016522979A (ja) 2013-03-14 2016-08-04 東京エレクトロン株式会社 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化
JP2017092475A (ja) 2015-11-12 2017-05-25 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
US20170166456A1 (en) 2015-12-11 2017-06-15 Uchicago Argonne, Llc Oleophilic foams for oil spill mitigation
JP2017112145A (ja) 2015-12-14 2017-06-22 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム

Also Published As

Publication number Publication date
US20190368040A1 (en) 2019-12-05
TW202003914A (zh) 2020-01-16
CN112204166B (zh) 2024-01-26
WO2019229537A3 (en) 2020-03-05
KR20210016349A (ko) 2021-02-15
CN112204166A (zh) 2021-01-08
TWI826451B (zh) 2023-12-21
JP2021525455A (ja) 2021-09-24
WO2019229537A2 (en) 2019-12-05

Similar Documents

Publication Publication Date Title
JP7420744B2 (ja) 浸透性材料に浸透させる浸透装置および方法
TWI827645B (zh) 基板處理設備及方法
US20210247693A1 (en) Method of forming an enhanced unexposed photoresist layer
KR102626263B1 (ko) 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN112309902A (zh) 衬底加工装置和方法
KR102166792B1 (ko) 금속 층들 상에 실리콘 옥사이드를 증착하기 위한 방법들 및 장치
CN111247269B (zh) 介电膜的几何选择性沉积
KR102581483B1 (ko) 기판 상에 실리콘 질화막을 선택적으로 형성하는 방법 및 관련 반도체 소자 구조체
KR102543288B1 (ko) 기판 상에 구조물을 형성하는 방법
US9728400B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2023015253A (ja) 半導体処理装置
JP2022088516A (ja) 酸化物薄膜の堆積
TWI589722B (zh) 用以透過與有機金屬共反應物之交叉歧化反應而沉積SiC與SiCN膜之設備及方法
TWI609426B (zh) 在基板上形成氮化矽膜之方法、設備及系統
KR20160035991A (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
JP6968993B2 (ja) 金属膜の選択的堆積のための方法及び前駆体
CN106057637A (zh) 通过原子层沉积和原子层蚀刻沉积共形膜
CN112997291A (zh) 硫族化物材料的保形无损伤封装
TW202129058A (zh) 使用原位蒸氣產生技術(issg)的金屬氧化物的熱原子層沉積
KR102663011B1 (ko) 기판 상에 실리콘 질화막을 형성하는 방법 및 관련 반도체 소자 구조체
KR20180123436A (ko) 기판 상에 실리콘 질화막을 형성하는 방법 및 관련 반도체 소자 구조체

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220516

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230419

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230424

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230724

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231218

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240111

R150 Certificate of patent or registration of utility model

Ref document number: 7420744

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150