TWI827645B - 基板處理設備及方法 - Google Patents

基板處理設備及方法 Download PDF

Info

Publication number
TWI827645B
TWI827645B TW108128570A TW108128570A TWI827645B TW I827645 B TWI827645 B TW I827645B TW 108128570 A TW108128570 A TW 108128570A TW 108128570 A TW108128570 A TW 108128570A TW I827645 B TWI827645 B TW I827645B
Authority
TW
Taiwan
Prior art keywords
substrate
precursor
reaction chamber
period
processing station
Prior art date
Application number
TW108128570A
Other languages
English (en)
Other versions
TW202009609A (zh
Inventor
羅 大衛 庫爾特 狄
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202009609A publication Critical patent/TW202009609A/zh
Application granted granted Critical
Publication of TWI827645B publication Critical patent/TWI827645B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/67086Apparatus for fluid treatment for etching for wet etching with the semiconductor substrates being dipped in baths or vessels
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/002Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor using materials containing microcapsules; Preparing or processing such materials, e.g. by pressure; Devices or apparatus specially designed therefor
    • G03F7/0022Devices or apparatus
    • G03F7/0025Devices or apparatus characterised by means for coating the developer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2012Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image using liquid photohardening compositions, e.g. for the production of reliefs such as flexographic plates or stamps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • B05C11/10Storage, supply or control of liquid or other fluent material; Recovery of excess liquid or other fluent material
    • B05C11/1002Means for controlling supply, i.e. flow or pressure, of liquid or other fluent material to the applying apparatus, e.g. valves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/08Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation
    • B05C9/12Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation the auxiliary operation being performed after the application
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber

Abstract

揭露一種基板處理設備,其包含一濕處理站,該濕處理站具有用於在一基板上塗佈一抗蝕劑之一抗蝕劑塗佈裝置及/或用於在該基板上顯影該抗蝕劑之一顯影處理裝置。該設備可具有:一額外處理站;以及一基板處置器,其用於將該基板移動至該濕處理站及/或該額外處理站,且在進及/或出該基板處理設備之一方向上移動該基板。該額外處理站包含一滲入裝置。

Description

基板處理設備及方法
本發明大體上相關於基板處理設備及其使用方法。該設備包含: 一濕處理站,其包含用於在一基板上塗佈一抗蝕劑之一抗蝕劑塗佈裝置及/或用於在該基板上顯影該抗蝕劑之一顯影處理裝置; 一額外處理站;以及 一基板處置器,其用於將該基板移動至該濕處理站及/或該額外處理站,且在進及/或出該基板處理設備之一方向上移動該基板。
基板處理設備可稱為例如塗佈機/顯影設備或軌道。基板處理設備可用於在基板上之抗蝕劑層中形成圖案之前及之後對基板執行不同之處理步驟。舉例而言,若基板上存在污染物,則可藉由化學處理來移除它們。可將基板加熱至足以驅除可能存在於基板上之任何水分的溫度。可施加黏合促進劑以促進抗蝕劑在基板處理設備中之基板上的黏附。
在基板處理設備之濕處理站中,可藉由旋塗用抗蝕劑覆蓋基板。可將黏性之液態抗蝕劑溶液配送至基板上,且可旋轉基板以產生薄之均勻層。接著可烘烤塗有抗蝕劑之晶圓以蒸發抗蝕劑溶劑。
若抗蝕劑為光(敏)抗蝕劑,則基板可自基板處理設備轉移至微影曝光設備。在微影曝光設備中,具有光致抗蝕劑之基板可曝光於(極)紫外輻射之經圖案化輻射束。輻射曝光導致光致抗蝕劑之化學變化,從而對抗蝕劑進行圖案化。
具有經圖案化抗蝕劑之基板可轉移回至基板處理設備之濕處理站,其中一些抗蝕劑可藉由特殊之顯影劑溶液移除。正性光致抗蝕劑在曝光後變得可溶於顯影劑,而對於負性光致抗蝕劑,未曝光區域變得可溶於顯影劑中。顯影劑可在旋轉器上之濕處理站中遞送,極類似於抗蝕劑。在顯影之前可使用曝光後烘烤,及/或在顯影之後可使用烘烤。
隨著趨勢將半導體裝置結構推向越來越小的尺寸,出現了不同的圖案化技術。此等技術包括自對準多重圖案化、間隔物定義四倍圖案化、深紫外線微影(DUV)、極紫外線微影(EUV),以及DUV/EUV與間隔物定義雙倍圖案化相結合。
上文所描述之圖案化技術可利用設於基板上之抗蝕劑以實現基板之高解析度圖案化。為了滿足高解析度與低線邊緣粗糙度二者之需求,抗蝕劑通常可為薄層。然而,此類薄抗蝕劑可能具有若干缺點。舉例而言,高解析度抗蝕劑可能遭受高缺陷率、高粗糙度及高蝕刻速率中之一或多者。高蝕刻速率可能由抗蝕劑之低抗蝕刻性引起,且使得經圖案化抗蝕劑轉移至下層更加困難。當先進之高解析度抗蝕劑需要進一步縮小時,缺陷率、粗糙度及抗蝕刻性可能更為劣化。
因此,可能需要一種改良之基板處理設備,用於提供具有改良特性之可滲入材料,諸如抗蝕劑或硬質光罩。
本發明內容以簡化形式來介紹一系列之概念。這些概念會在下面本發明的示例實施例之詳細敍述中做進一步詳述。本發明內容沒有意欲要確認所主張之標的的關鍵特徵或必要特徵,亦沒有意欲用來限制所主張之標的的範圍。
在一些實施例中,揭露一種基板處理設備。該處理設備可包含一濕處理站,該濕處理站包含用於在一基板上塗佈一抗蝕劑之一抗蝕劑塗佈裝置及/或用於在該基板上顯影該抗蝕劑之一顯影處理裝置。該處理設備可包含:一額外處理站;以及一基板處置器,其用於將該基板移動至該濕處理站及/或該額外處理站,且在進及/或出該基板處理設備之一方向上移動該基板。該額外處理站可包含一滲入裝置,該滲入裝置包含:一反應腔室,該反應腔室設有一基板固持器,以固持具有可滲性材料之至少一個基板;一前驅物分配及移除系統,其包含一或多個反應腔室閥,以將一氣態第一前驅物提供至該反應腔室及/或自該反應腔室移除該氣態第一前驅物;以及一順序控制器,其可操作地連接至該前驅物分配及移除系統且包含一記憶體,該記憶體設有一程式以當在該順序控制器上執行時藉由一滲入循環執行該基板上該可滲性材料之滲入。該滲入循環可包含啟動該前驅物分配及移除系統以在該反應腔室中提供該第一前驅物持續一第一時段。可以用可滲性材料與第一前驅物之反應的反應產物滲入該可滲性材料。
為了概述本發明及相較於習知技藝所實現之優點,本發明之某些目的及優點於此已描述於上文中。當然,應明瞭,無須根據本發明之任何特定實施例來達成所有該等目的或優點。因此,例如,熟悉該項技藝者將認識到,本發明可以以實現或最佳化本文所教示或建議之一個優點或一組優點而不一定實現本文可能教示或建議之其他目的或優點的方式來具體化或實施。
所有這些實施例皆意欲在本文所揭露之本發明的範圍內。根據下面參考所附圖式之某些實施例的詳細描述,這些及其他實施例對熟悉該項技藝者將變得顯而易見,本發明並非侷限於所揭露之任何特定實施例。
雖然在下文中揭露特定實施例及實例,但是該項技藝者可以理解,本發明延伸超出本發明所具體揭露之實施例及/或用途及其明顯修改及其均等物。因此,意指所揭露之本發明的範圍不應受限於下文所描述之特定揭露的實施例。本文呈現的圖示並不是意味著任何特定材料、結構或裝置的實際視圖,而僅係用於描述本發明之實施例的理想圖示。
如本文所使用,術語「基板」可指可使用或在其上可形成裝置、電路或膜之任何下層材料。另外,術語「可滲性材料(infiltrateable material)」可指可引入額外物質(諸如原子、分子或離子)的材料。術語「半導體裝置結構」可指經處理或部分經處理之半導體結構的任何部分,其就是、包括或定義出待形成於半導體基板上或半導體基板內之半導體裝置的主動或被動組件之至少一部分。例如,半導體裝置結構可包括積體電路之主動及被動組件,舉例如電晶體、記憶體元件、轉換器、電容器、電阻器、導線、導電盲孔及導電接觸墊。
在本發明的整個實施例中給出一些實例材料,應注意針對每個實例材料所給出之化學式不應被視為限制性且所給出之非限制性實例材料不應受給定的實例化學計量所限制。
本發明包括基板處理設備及處理方法,其可用以改良可滲性材料之特性,該等材料舉例如抗蝕劑及硬質光罩材料,用來作為半導體裝置製造過程中之蝕刻光罩。
滲入製程,舉例如依序滲入合成(SIS),已顯示會透過使用無機保護組分改質有機材料來提高各種有機材料的抗蝕刻性。例如,SIS製程利用聚合物抗蝕劑交替曝露至氣相前驅物,使氣相前驅物滲入有機抗蝕劑材料,以於阻層內形成保護組分。SIS方法及其用途描述於以引用之方式併入本文中的美國公開案第2012/0241411號及/或美國公開案第2018/0171475號中。因此,基板處理設備中滲入製程與高解析度抗蝕劑及硬質光罩經圖案化結合可提供以往未見於先前方法之益處,如以引用之方式併入本文中的美國公開案第2014/0273514號及/或美國專利第9,916,980 B1號中所述。
滲入過程可用專用之滲入工具完成,該滲入工具可包含反應腔室,該反應腔室經建構及配置成至少固持其上具有可滲性材料之基板。此等反應腔室可包括構造成用以進行原子層沈積(ALD)製程之反應腔室及建構成用以進行化學氣相沈積(CVD)製程之反應腔室。可使用噴灑頭式反應腔室。可以使用交叉流動式、批次式、小型批次式或空間式ALD反應腔室。可使用間歇反應腔室,諸如垂直間歇反應腔室。在其他實施例中,批次式反應腔室包含小型批次式反應器,其構造成容納10個或更少晶圓、8個或更少晶圓、6個或更少晶圓、4個或更少晶圓或者2個或更少晶圓。可利用包括有一反應腔室之獨立式滲入工具,該反應腔室可建構且配置成用以獨立執行滲入製程。抗蝕劑可為非常敏感的,因此在抗蝕劑經圖案化後可能非常快速地施加滲入。
因此,於本發明之一些實施例中,可為基板處理設備提供滲入能力。在一些實施例中,該基板處理設備可包含:濕處理站,該該濕處理站包含用於在一基板上塗佈一抗蝕劑之一抗蝕劑塗佈裝置及/或用於在該基板上顯影該抗蝕劑之一顯影處理裝置;一額外處理站;以及一基板處置器,其用於將該基板移動至該濕處理站及/或該額外處理站,且在進及/或出該基板處理設備之一方向上移動該基板。該額外處理站可包含一滲入裝置,該滲入裝置包含:一反應腔室,該反應腔室設有一基板固持器,以固持具有可滲性材料之至少一個基板;一前驅物分配及移除系統,其包含一或多個反應腔室閥,以將一氣態第一及/或第二前驅物提供至該反應腔室及/或自該反應腔室移除該氣態第一及/或第二前驅物;以及一順序控制器,其可操作地連接至該前驅物分配及移除系統且包含一記憶體,該記憶體設有一程式以當在該順序控制器上執行時藉由一滲入循環執行該基板上該可滲性材料之滲入。
滲入循環可包含:啟動該前驅物分配及移除系統以在該反應腔室中提供該第一前驅物持續一第一時段,以用可滲性材料與第一前驅物之反應產物滲入基板上之可滲性材料;以及啟動前驅物分配及移除系統以自反應腔室中移除一部分第一前驅物持續第二時段。滲入循環可更包含:啟動前驅物分配及移除系統,以在反應腔室中提供第二前驅物持續第三時段,以用可滲性材料及/或第一及/或第二前驅物之反應產物滲入基板上之可滲性材料。在處理設備中,具有敏感抗蝕劑作為可滲性材料之基板可能不需要離開處理工具以進行滲入。由此可更快地完成滲入,且污染之風險將會降低。因此,可改良滲入材料之品質。
本發明之基板處理設備之非限制性實例繪示於圖1中,其包含根據本發明實施例之例示性基板處理設備1之示意圖。應注意,圖1中所繪示的基板處理設備1為例示性基板處理設備之簡化示意性版本,且並不含有可用於本發明之基板處理設備之製造中的每一個元件,亦即,諸如每一個閥、氣體管線、加熱元件及反應器組件等。
例示性基板處理設備1可包含可放置盒3之盒式儲存部分2、處理部分4及界面部分5。基板處理設備1可經由界面部分5將基板轉移至光微影曝光設備。界面部分5可為基板處理設備1之一部分或來自單獨之光微影曝光設備(未展示)。在處理部分4中,可提供用於移動基板之基板處置器6。
可於處理部分4中提供包含用於在基板上塗佈抗蝕劑之抗蝕劑塗佈裝置的第一濕處理站7及包含用於在基板上顯影抗蝕劑之顯影處理裝置的第二濕處理站8。第一及第二濕處理站7、8可包含用於旋轉基板之可旋轉基板台17及用於向基板表面提供液體之液體配送器。光致抗蝕劑可每秒10至100轉地旋轉20至60秒。
基板處置器6可建構及配置成用於將基板移動至第一及/或第二濕處理站,且經由盒式儲存部分2及界面部分5在進及/或出基板處理設備之方向上移動基板。為此目的,基板處置器6可具有可在水平及豎直方向上移動之基板固持器。加熱站9及冷卻站10可設置在處理部分4中,分別用於烘烤及冷卻基板,且亦可藉由基板處置器6被供給基板。
基板處理設備可包含額外處理站11,其包含反應腔室12,該反應腔室設有基板固持器13,以用可滲性材料(諸如抗蝕劑或硬質光罩)固持至少一個基板。額外處理站可包含包含前驅物分配及移除系統14之滲入裝置,該前驅物分配及移除系統包含一或多個反應腔室閥以向該反應腔室12提供氣態第一及/或第二前驅物以及自該反應腔室移除該氣態第一及/或第二前驅物。基板處置器6可建構及配置成用於將基板移動至額外處理站及自額外處理站移動基板。
在基板處理設備中,含於置放在盒式儲存部分2上之盒3中之基板15藉由基板處置器6裝載至處理部分4及第一濕處理站7中。在第一濕處理站7中,抗蝕劑塗佈裝置可在晶圓W上塗佈抗蝕劑溶液。此後,可將基板轉移至加熱站,額外處理站及/或界面部分5。在界面部分5處,可存在第一基板台16及第二基板台17,用於將基板轉移至光微影曝光設備中且返回。
光微影曝光設備用圖案曝光基板上之抗蝕劑,且基板15在反向路徑中被轉移至處理部分之第二濕處理站8。在第二濕處理站中,顯影處理裝置在基板15上顯影經圖案化抗蝕劑。此後,可藉由基板處置器6將基板轉移至加熱站、額外處理站及/或盒式安裝部分2。
圖2繪示非限制性例示性額外處理站,其包含用於圖1之基板處理設備之滲入裝置。額外處理站11可包含反應腔室12,其建構且配置成用以固持其上設有可滲性材料106之至少一基板15。
能夠用來滲入可滲性材料之反應腔室可包括構造成用以進行原子層沈積(ALD)製程之反應腔室及建構成用以進行化學氣相沈積(CVD)製程之反應腔室。依據一些實施例,可以使用噴灑頭式反應腔室。依據一些實施例,可以使用交叉流動式、批次式、小型批次式或空間式ALD反應腔室。
於本發明之一些實施例中,可使用批次式反應腔室。在一些實施例中,可使用垂直批次式反應器。在其他實施例中,批次式反應腔室包含小型批次式反應器,其構造成容納10個或更少晶圓、8個或更少晶圓、6個或更少晶圓、4個或更少晶圓或者2個或更少晶圓。
設置於反應腔室12內可為至少一基板15,其上設有一可滲性材料106,即設於基板15之上表面上。於本發明之一些實施例中,基板15可以包含平面基板或圖案化基板。基板15可以包含一種或多種材料,包括但不限於矽(Si)、鍺(Ge)、鍺錫(GeSn)、矽鍺(SiGe)、矽鍺錫(SiGeSn)、碳化矽(SiC)或第III族-第V族半導體材料,舉例如砷化鎵(GaAs)、磷化鎵(GaP)或氮化鎵(GaN)。於本發明之一些實施例中,基板15可以包含工程化基板,其中表面半導體層設置在塊體支撐件上方,其間設置有插入的掩埋氧化物(BOX)。
圖案化基板可以包括如下基板:其可以包含形成在基板表面之中或之上的半導體裝置結構,例如,圖案化基板可以包含部分製造的半導體裝置結構,舉例如電晶體及/或記憶體元件。在一些實施例中,基板可含有單晶表面及/或一個或多個可包含非單晶表面(諸如多晶表面及/或非晶表面)之次表面。單晶表面可包含例如矽(Si)、矽鍺(SiGe)、鍺錫(GeSn)或鍺(Ge)中之一者或多者。多晶或非晶表面可包括介電材料,諸如氧化物、氮氧化物或氮化物,舉例如氧化矽及氮化矽。
於本發明之一些實施例中,基板15具有一可滲性材料106設於其上,即設於基板15之上表面上。可滲性材料106可包括可供額外物質滲入其中之任何材料,當將額外物質引入可滲性材料106時,可提高可滲性材料106之抗蝕刻性。於本發明之一些實施例中,該可滲性材料106可包含至少一聚合物抗蝕劑,舉例如光致抗蝕劑、極紫外線微影(EUV)抗蝕劑、浸液光致抗蝕劑、化學放大抗蝕劑(CAR)、或電子束抗蝕劑(如聚甲基丙烯酸甲酯(PMMA))。
於本發明之一些實施例中,該可滲性材料106可包含一多孔材料,如微孔及/或奈米孔,其包括舉例如旋塗玻璃(spin-on-glasses,OG)及旋塗碳(spin-on-carbon,SOC)之多孔材料。於本發明之一些實施例中,該可滲性材料106可包含一或更多硬質光罩材料,其包括但不限於,碳化硼、無定形碳、氧化矽、氮化矽及氮氧化矽。
於本發明之一些實施例中,可滲性材料106可包含經圖案化可滲性材料,諸如經圖案化抗蝕劑或經圖案化硬質光罩,其包含一或多個可滲入特徵。可在隨後之蝕刻製程期間將特徵轉印至下伏基板中。該可滲性特徵可包含可根據曝光及相關顯影製程形成之任何幾何形狀,其可包括但不限於,線特徵、區塊特徵、開孔特徵及圓形特徵。
於本發明之一些實施例中,可滲性材料106可包含平坦可滲性材料,其可在後續製程期間被圖案化。舉例而言,可滲性材料106可包含平坦抗蝕劑,其可在隨後之微影曝光步驟期間被圖案化,或者可滲性材料106可包含平坦硬質光罩,其可在隨後之蝕刻步驟期間被圖案化。
基板15可設於反應腔室12內,並透過基板固持器13固持於位置上,該基板固持器構造成使至少一個基板保持於其上。於本發明之一些實施例中,本文所揭露之滲入製程可利用將基板15及相關聯可滲性材料106加熱至適當處理溫度之製程。因此,基板固持器13可包含一或多個加熱元件110,其可被構造成利用設置在其上之可滲性材料106來加熱基板15。加熱元件110可被構造成將基板15加熱至20至450℃之間的溫度,較佳在50至150℃之間,更佳地在60至120℃之間,且最佳地在70至100℃之間,例如85℃。於本發明之一些實施例中,額外站11經建構及配置成將反應腔室中之壓力控制在0.001與1,000托之間、較佳0.1至500托且最佳1至100托之間。
於本發明之一些實施例中,包含滲入裝置之額外站11可包含前驅物分配及移除系統。該前驅物分配及移除系統可包含一氣體輸送系統112,其可更包含一或更多前驅物源114A及114B,該等前驅物源經建構且配置成用以提供若干前驅物之蒸氣並將相關聯之蒸氣配送至反應腔室12。該氣體輸送系統112亦可包含一源容器116,其構造成用以貯存及配送沖洗氣體,此沖洗氣體可用於本文所述之例示性滲入製程之沖洗循環中。該氣體輸送系統112亦可包含一反應物源容器118,其構造成用以容置並配送反應物至反應腔室12中,以用於本文所述之例示性滲入製程。作為非限制性實例,該額外站11可包括一第一前驅物源114A,其經建構且配置成用以提供第一前驅物蒸氣。於一些實施例中,該第一前驅物源114A可包含第一前驅物蒸發器,其經建構並配置成用以蒸發第一前驅物。
於一些實施例中,該第一前驅物源114A可包含一源容器,其構造成用以於適當操作條件下貯存並容置第一前驅物。舉例而言,該第一前驅物可包含固相前驅物、液相前驅物或氣相前驅物,而該源容器可構造成用以於適當操作條件下貯存並容置固相、液相、氣相前驅物。於一些實施例中,該第一前驅物源可包含第一前驅物蒸發器,其可包括一或多個可控加熱元件,可將第一前驅物加熱至適當操作溫度,因而得以可控地蒸發一部分的第一前驅物,接著藉由適當手段,使蒸發的蒸氣分佈至反應腔室12,以滲入可滲性材料。於一些實施例中,與第一前驅物源114A相連之一或更多加熱元件可構造成用以控制第一前驅物之蒸氣壓力。此外,流量控制器120A (舉例如質流控制器,MFC)更可與第一前驅物源114A相連,並可構造成用以控制自第一前驅物源114A (舉例如第一前驅物蒸發器)產生之蒸氣的質流。除了流量控制器120A之外,閥122A (如截流閥)可與第一前驅物源114A相連,並可用於阻絕第一前驅物源114A與反應腔室12,亦即,當閥122A處於關閉位置時,可防止第一前驅物源114A所產生的蒸氣流入反應腔室12中。
於額外的實施例中,第一前驅物源114A更可包含一載氣輸入(未展示),使得載氣(如氮氣)可通過或鼓泡通過第一前驅物,據此第一前驅物可變成夾帶於載氣中,且載氣/第一前驅物蒸氣隨後可藉由適當手段輸送至反應腔室12。
於本發明之一些實施例中,例示性滲入站11 (圖2)可包含一前驅物分配及移除系統,其經建構且配置成用以向該反應腔室12提供來自該第一前驅物源114A之第一前驅物蒸氣,並自該反應腔室12移除第一前驅物蒸氣。
於本發明之一些實施例中,例示性額外處理站11可包含前驅物分配及移除系統,該前驅物分配及移除系統經建構及配置成在反應腔室12中向反應腔室12提供來自第一前驅物源114之第一前驅物蒸氣,該蒸氣包含一金屬為來自包含以下各者之群組:鋁(Al)、鉿(Hf)、Gal(Ga)、鍺(Ge)、鋯(Zr)、銦(In)、鋰(Li)、碲(Te)、銻(Sb)及錫(Sn)。
在本發明之一些實施中,例示性額外處理站11可包含前驅物分配及移除系統,其建構及配置成在反應腔室12中提供包含金屬烷基醯胺前驅物之前驅物。
在本發明之一些實施中,例示性額外處理站11可包含前驅物分配及移除系統,其建構及配置成提供一前驅物為選自包含以下各者的群組:三甲基鋁(TMA)、三乙基鋁(TEA)及二甲基氫化鋁(DMAH)。因此,滲入裝置可在舉例如抗蝕劑之可滲性材料中滲入諸如鋁之金屬。
於本發明之一些實施例中,該例示性額外處理站11可包含一前驅物分配及移除系統,其經建構且配置成用以在反應腔室12中向該反應腔室12提供來自該第一前驅物源114之包含金屬鹵化物之第一前驅物蒸氣。
在本發明之一些實施中,滲入裝置之前驅物分配及移除系統經建構及配置成在反應腔室中提供包含SnI4或SnCl4之前驅物。在本發明之一些實施中,例示性額外處理站11可包含前驅物分配及移除系統,其建構及配置成在反應腔室中提供一前驅物為選自包含以下各者之群組:四乙基錫、四甲基錫或乙醯基丙酮酸錫。因此,滲入裝置可在舉例如抗蝕劑之可滲性材料中滲入諸如鋁之金屬。
於本發明之一些實施例中,該例示性額外站11可包含一前驅物分配及移除系統,其經建構且配置成用以在反應腔室中向反應腔室12提供來自第一前驅物源114之包含鎂及/或鈣之第一前驅物蒸氣。
在一些實施例中,滲入裝置可經建構及配置成在舉例如抗蝕劑之可滲性材料中滲入矽。
於一些實施例中,第一前驅物源114可經建構且配置成用以提供胺基矽烷蒸氣。
於一些實施例中,該第一前驅物源可經建構且配置成用以提供包含有3-胺基丙基及矽之化合物蒸氣,即包含有3-胺基丙基組成及矽組成之矽前驅物。
於一些實施例中,該第一前驅物源114A可經建構且配置成用以提供3-胺基丙基三乙氧基矽烷(APTES)之蒸氣。例如,該第一前驅物源114A可包含一第一前驅物蒸發器,其經建構並配置成用以蒸發3-胺基丙基三乙氧基矽烷(APTES)。舉例而言,APTES可貯存並容置於適當源容器中,且可利用相關加熱元件,以將APTES加熱至大於0℃、或大於90℃、或甚至大於230℃之溫度,以蒸發一部分的APTES,因而產生適於滲入可滲性材料之汽化第一前驅物。
於一些實施例中,該第一前驅物源114A可經建構且配置成用以提供3-胺基丙基三甲氧基矽烷(APTMS)之蒸氣。例如,該第一前驅物源114A可包含一第一前驅物蒸發器,其經建構並配置成用以蒸發3-胺基丙基三甲氧基矽烷(APTMS)。舉例而言,APTMS可貯存並容置於適當源容器中,且可利用相關加熱元件,以將APTMS加熱至大於0℃、或大於90℃、或甚至大於230℃之溫度,以蒸發一部分的APTMS,因而產生適於滲入可滲性材料之汽化第一前驅物。
於本發明之一些實施例中,該第一前驅物源114A可經建構且配置成用以提供包含有烷氧配位基及烷氧配位基以外之額外配位基的矽前驅物蒸氣。例如,該第一前驅物源114A可包含一第一前驅物蒸發器,其可經建構且配置成用以蒸發包含有烷氧配位基及烷氧配位基以外之額外配位基的矽前驅物。
於一些實施例中,該第一前驅物源114A可經建構且配置成用以提供包含有接至矽原子且經胺基取代之烷基的矽前驅物蒸氣。
更詳言之,該前驅物分配系統可包含氣體輸送系統112及一或更多氣體管線,舉例如與第一前驅物源114A流體連通之氣體管線124、與第二前驅物源114B流體連通之氣體管線126、與源容器116流體連通之氣體管線128、及與反應物源容器118流體連通之氣體管線130。作為非限制性實例,氣體管線124係流體連接至第一前驅物源114A,並可構造成用以將第一前驅物蒸氣轉移至反應腔室12。
該前驅物分配系統更可包含一氣體配送器132,其構造成用以將第一前驅物蒸氣配送至反應腔室12中,以配送於基板15 (其上設有可滲性材料106)上方,除了與氣體管線126、128及130流體連通之外,氣體配送器132係與氣體管線124流體連通。
作為非限制性實例實施例,該氣體配送器132可包含噴灑頭,如圖2中方塊形所示。應注意,雖然將噴灑頭繪示成方塊形,但噴灑頭可能呈相對複雜結構。於一些實施例中,該噴灑頭可構造成:於配送氣體混合物至反應腔室12前,先混合來自多個源之蒸氣。於替代實施例中,該噴灑頭可構造成用以使導入噴灑頭之多種蒸氣之間維持分開,而多種蒸氣僅在設於反應腔室12內之基板15附近相互接觸。再者,該噴灑頭可構造成用以提供垂直或水平氣體流至反應腔室12中。例示性氣體分配器描述於美國專利 第8,152,922號中,其內容在此以此類內容與本發明不相衝突的程度,以引用之方式併入本文中。
如圖2所示,該前驅物分配系統可包含氣體輸送系統112、至少氣體管線124、126、128及130、及氣體分配器132,然而應注意,該前驅物分配系統可包括未示於圖2中之額外組件,舉例如額外的氣體管線、閥、執行器、密合件及加熱元件。
除了前驅物分配系統外,包含滲入裝置之額外站11亦可包含一移除系統,其經建構且配置成用以自反應腔室12移除氣體。於一些實施例中,該移除系統可包含設於反應腔室12壁內之一排出口134、與排出口134流體連通之排出管線136、及與排出管線136流體連通並構造成用以將氣體自反應腔室12內排空之真空泵138。一旦利用真空泵138將氣體或複數氣體自反應腔室12排出,氣體即可沿著額外排出管線140輸送並離開額外站11,其中氣體可能進行進一步的減排過程。
為進一步協助自反應腔室12內移除前驅物氣體,即反應氣體,該移除系統更可包含一源容器116,其透過氣體管線128流體連接至氣體分配器132。舉例而言,該源容器116可構造成用以容置並貯存沖洗氣體,舉例如氬氣(Ar)、氮氣(N2 )、或氦氣(He)。與源容器116相連之流量控制器120C及閥122C可控制流量,尤其是透過氣體管線128轉移至氣體分配器132並進入反應腔室12中之沖洗氣體的質流,其中沖洗氣體可協助自反應腔室12內移除氣相前驅物氣體、惰性氣體及副產物,尤其是將前驅物氣體及未反應的副產物自可滲性材料106的曝露表面洗除。該沖洗氣體(及任何相關前驅物及副產物)可利用真空泵138,經由排出口134離開反應腔室12。
於本發明之一些實施例中,額外站11更可包含一順序控制器142,其以可操作方式連接至該前驅物分配系統及移除系統,且包含設有一程式之一記憶體144,以於該順序控制器上執行時執行對該可滲性材料之滲入。
更詳言之,該例示性額外站11可包含一順序控制器142,其亦可包含控制線144A、144B及144C,其中該些控制線可將各種系統及/或滲入系統11之組件接合至順序控制器142。例如,控制線144A可將順序控制器142與氣體輸送系統112接合,因而對包括氣體管線124、126、128及130還有氣體分配器132之前驅物分配系統提供控制。控制線144B可將順序控制器142與反應腔室12接合,因而對反應腔室的操作提供控制,其包括但不限於,處理壓力及晶座溫度。控制線144C可將順序控制器142與真空泵138接合,據此可通過順序控器142,對氣體移除系統進行操作和控制。
應注意,如圖2所示,順序控制器142包括三個控制線144A、144B及144C,但應當理解,可利用多個控制線(即電性及/或光學連接控制線),以將所需系統及組件(包含額外站11)與順序控制器142接合,因而對滲入裝置提供整體控制。
於本發明之一些實施例中,該順序控制器142可包含電子電路,以選擇性地操作包括於例示性滲入裝置中之閥、加熱器、流量控制器、歧管、泵及其他配件。此類電路及組件進行操作,以自對應的前驅物源114A、114B、反應物源容器118及沖洗氣體源容器116引入前驅物氣體及沖洗氣體。順序控制器142亦可控制前驅物脈衝序列之時序、基板及反應腔室12的溫度、反應腔室的壓力以及提供額外站11之適當操作所必需之各種其他操作。於一些實施例中,順序控制器142亦可包含控制軟體及電力地或氣動地控制閥,以控制前驅物及沖洗氣體進入及離開反應腔室12之流動。於本發明之一些實施例中,該順序控制器142可包含設有程式之一記憶體144,以於順序控制器上執行時執行對可滲性材料之滲入。舉例而言,該順序控制器142可包括如軟體或硬體組件之模組,舉例如FPGA或ASIC,以執行某些滲入製程。模組可構造成存在於順序控制器142的可定址儲存媒體中,且可建構成用於執行一或多個滲入製程。
於本發明之一些實施例中,該順序控制器142之記憶體144可設有一程式,以於順序控制器142上執行時藉由以下來執行對可滲性材料106之滲入:啟動該前驅物分配系統及移除系統,以提供第一前驅物蒸氣至反應腔室12內之基板15上之可滲性材料106,藉此用第一前驅物蒸氣與可滲性材料106之反應的反應產物滲入反應腔室12內之該基板15上之可滲性材料106。
於本發明之一些實施例中,該例示性額外站11可包含一第二前驅物源114B,舉例如第二前驅物蒸發器。更詳言之,該第二前驅物源114B可經建構且配置成用以提供第二前驅物蒸氣。舉例而言,該第二前驅物源114B可包含一第二前驅物蒸發器,其可經建構且配置成用以蒸發第二前驅物。於一些實施例中,該第二前驅物源114B可相同於或實質上相同於第一前驅物源114A,因此關於第二前驅物源114B之細節將省略以達簡潔。
於一些實施例中,前驅物分配系統及移除系統可經建構且配置成用以向反應腔室12提供來自第二前驅物源114B之第二前驅物蒸氣。舉例而言,氣體管線126可透過流量控制器120B及閥122B流體連接至第二前驅物源114B,並可將來自第二前驅物源114B之第二前驅物蒸氣轉移至氣體分配器132,接著進入反應腔室12。於一些實施例中,該記憶體144中的程式可程式化成用以於順序控制器142上執行時藉由以下來執行對可滲性材料106之滲入:啟動該前驅物分配系統及移除系統,以提供第二前驅物蒸氣至反應腔室12,由此可用第二前驅物蒸氣滲入基板15上之可滲性材料106。
於本發明之一些實施例中,記憶體144中之程式可程式化成用以於順序控制器142上執行時藉由以下來執行對可滲性材料106之滲入:啟動前驅物分配系統及移除系統,以於第一前驅物後提供第二前驅物,亦即,第一前驅物源114A可提供第一前驅物蒸氣至反應腔室12中,並用第一前驅物滲入可滲性材料106,隨後第二前驅物源114B可提供第二前驅物蒸氣至反應腔室12中,並用第二前驅物滲入可滲性材料106。儲存在記憶體144中之程式之滲入循環可使提供第一前驅物蒸氣之第一時段長於提供第二前驅物蒸氣之第三時段,以在於順序控制器142上執行時執行可滲性材料106之滲入。或者,儲存在記憶體144中之程式之滲入循環可具有比第一時段長之第三時段,以在順序控制器142上執行時執行可滲性材料106之滲入。儲存在記憶體144中之程式之滲入循環可使提供第一前驅物蒸氣之第一時段比第三時段長0.1至10,000倍、較佳1至1,000倍且最佳5至100倍。
於一些實施例中,該順序控制器142可於記憶體144上執行程式,以啟動前驅物分配系統及移除系統,用以於第二前驅物後提供第一前驅物,亦即,第二前驅物源114B可提供第二前驅物蒸氣至反應腔室12中,以用第二前驅物蒸氣滲入可滲性材料106,隨後第一前驅物源114A可提供第一前驅物蒸氣至反應腔室12中,以用第一前驅物蒸氣滲入可滲性材料106。
於本發明之一些實施例中,儲存於記憶體144中之程式可程式化成用以於順序控制器142上執行時藉由以下來執行對可滲性材料106之滲入:啟動前驅物分配系統及移除系統,以提供第一前驅物至反應腔室12中,隨後進行沖洗循環,以自反應腔室移除過量的第一前驅物及任何副產物,接著再提供第二前驅物至反應腔室中,而後進行第二沖洗循環,以自反應腔室移除過量的第二前驅物及任何副產物。
更詳言之,安裝於順序控制器142之記憶體144內的程式可先啟動第一前驅物源114A,並提供第一前驅物蒸氣至反應腔室12,以用第一前驅物蒸氣滲入可滲性材料106,隨後可關閉第一前驅物源114A,且第一前驅物源114A與反應腔室12之間通向反應腔室12的流體連接可例如藉由與第一前驅物源114A相連之閥122A來阻斷。一旦第一前驅物源114A被關閉且不與反應腔室12相通後,安裝於順序控制器142之記憶體144內的程式可連上或繼續連上真空泵138,以將過量的第一前驅物及任何副產物自反應腔室12排出。於額外實施例中,除了利用真空泵138以將過量第一前驅物及任何副產物自反應腔室12排出之外,安裝於順序控制器142之記憶體144中的程式可例如藉由開啟與源容器116相關聯之閥122C,以啟動含有沖洗氣體源之源容器116。沖洗氣體可流過氣體管線128,並經由氣體分配器132進入反應腔室12,以沖洗反應腔室12,尤其是可沖洗設於基板15上之可滲性材料106。安裝於順序控制器142之記憶體144中的程式可接著關閉沖洗氣體通過反應腔室12之流動,並隨後啟動第二前驅物源114B,因而提供第二前驅物蒸氣至反應腔室12,以特別用第二蒸氣源114B所提供之第二前驅物蒸氣滲入可滲性材料106。安裝於順序控制器142之記憶體144中的程式可接著關上第二前驅物流至反應腔室12之流動,並隨後開啟源容器116,以再次沖洗反應腔室,例如移除過量的第二前驅物蒸氣。
於本發明之一些實施例中,安裝於記憶體144中之程式可程式化成用以於順序控制器142上執行時藉由以下來執行對可滲性材料106之滲入:啟動前驅物分配系統及移除系統,以提供第二前驅物蒸氣至反應腔室中,隨後進行沖洗循環,以自反應腔室移除過量的第二前驅物及任何副產物,接著再提供第一前驅物蒸氣至反應腔室中,而後進行沖洗循環,以自反應腔室移除過量的第一前驅物及任何副產物。
在本發明的額外實施例中,額外站11可包含滲入裝置,該滲入裝置包含依序滲入合成(SIS)裝置。舉例而言,依序滲入合成(SIS)裝置可經建構且配置成用以使可滲性材料交替、自限地曝露(self-limiting exposure)於兩種或更多氣相前驅物。
因此,除了第一前驅物源114A及第二前驅物源114B外,該例示性額外站11可更包含一反應物源容器118及一反應物供應管線,即氣體管線130,其經建構且配置成用以提供包含有氧前驅物之反應物至反應腔室12。
於本發明之一些實施例中,反應物源容器118可包含固相、液相或氣相反應物。於一些實施例中,反應物源容器118可包含一反應物蒸發器,即一或更多加熱元件可與反應物源容器相連,以使反應物能夠蒸發,因而提供包含有氧前驅物之汽化反應物至反應腔室12。於一些實施例中,藉由使用與反應物源容器118相連之閥122D及流量控制器120D,可實現氣相反應物(包含氧前驅物)通向反應腔室之流動控制。於本發明之一些實施例中,反應物源容器118更包含一反應物蒸發器,該反應物蒸發器可經建構且配置成用以蒸發水(H2 O)或過氧化氫 (H2 O2 )之至少一者(作為包含有氧前驅物之反應物)。
於本發明之一些實施例中,該反應物源容器118可貯存氣態氧前驅物,並藉由反應物供應管線130及氣體分配器132,將氣態氧前驅物配送至反應腔室12。於一些實施例中,該氣態氧前驅物可包含臭氧(O3 )或分子氧(O2 )之至少一者。
在本發明的一些實施例中,例示性滲入站11可視情況更包含電漿產生器146。電漿產生器146可經建構且配置成用以自氣態氧前驅物產生電漿,因而提供原子氧、氧離子、氧自由基及經激發的氧種類之一或更多者至反應腔室12,使得電漿產生器146所產生的氧基電漿可與設於基板15上之可滲性材料106反應。
於本發明之一些實施例中,該例示性額外站11可為依序滲入合成設備,其更包含:一反應物源容器118及一反應物供應管線130,其經建構且配置成用以提供包含有氧前驅物之一反應物至該反應腔室12,其中該順序控制器142之該記憶體144中之該程式可程式化成用以於該順序控制器142上執行時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分配系統及移除系統,以自該反應腔室12移除氣體,以及啟動該前驅物分配系統及移除系統,以提供包含有氧前驅物之該反應物至該反應腔室12,藉此通過第一前驅物及包含有氧前驅物之反應物與可滲性材料106之反應來滲入反應腔室12中之該基板15上之可滲性材料106。於一些實施例中,提供第一前驅物及隨後提供反應物之程式順序可重複一或多次。於一些實施例中,該程序順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應腔室12而自反應腔室移除。
於本發明之一些實施例中,安裝於記憶體144中的程式可程式化成用以於順序控制器142上執行時藉由以下來執行對可滲性材料106之依序滲入合成:啟動前驅物分配系統及移除系統,以自反應物源容器118提供氧前驅物至反應腔室,接著自第一前驅物源114A提供第一前驅物蒸氣至反應腔室12,因而用第一前驅物及氧原子滲入可滲性材料。於一些實施例中,提供氧前驅物及隨後提供第一前驅物蒸氣之程式順序可重複一或多次。於一些實施例中,該程式順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應腔室12而自反應腔室移除。
於本發明之一些實施例中,該設備包含一依序滲入合成設備,且更包含一第二前驅物源114B,其經建構且配置成用以提供第二前驅物蒸氣至反應腔室12。例如,該第二前驅物源114B可包含一第二前驅物蒸發器,其可經建構且配置成用以蒸發第二前驅物。於一些實施例中,該前驅物分配系統及移除系統可經建構且配置成用以自第二前驅物源114B向反應腔室12提供第二前驅物蒸氣,且該記憶體144中的程式經程式化成用以於順序控制器142上執行時藉由以下來執行對可滲性材料之滲入:啟動該前驅物分配系統及移除系統,以提供第二前驅物。
於本發明之一些實施例中,該記憶體144中之該程式係程式化成用以於該順序控制器142上執行時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分配系統及移除系統,以提供第一前驅物、隨後提供反應物、接著再提供第二前驅物、而後再提供反應物。
於本發明之一些實施例中,該記憶體144中之該程式可程式化成用以於該順序控制器142上執行時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分配系統及移除系統,以重複多次提供第一前驅物、隨後提供反應物、接著再提供第二前驅物、而後再提供反應物。
於本發明之一些實施例中,該記憶體144中系統之該程式可程式化成用以於該順序控制器142上執行時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分配及移除系統,以於下述每一步驟之間自反應腔室移除前驅物及/或反應物:提供第一前驅物、隨後提供反應物、接著再提供第二前驅物、而後再提供反應物。
於本發明之一些實施例中,該記憶體144中之該程式可程式化成用以於該順序控制器142上執行時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分配系統及移除系統,以提供第一前驅物、隨後提供第二前驅物、接著再提供反應物。於一些實施例中,提供第一前驅物、隨後提供第二前驅物、接著再提供反應物之程序順序可重複一或多次。於一些實施例中,該程序順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應腔室12而自反應腔室移除。
於本發明之一些實施例中,該記憶體144中之該程式可程式化成用以於該順序控制器142上執行時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分配系統及移除系統,以提供第二前驅物、隨後提供第一前驅物、接著再提供反應物。於一些實施例中,提供第二前驅物、隨後提供第一前驅物、接著再提供反應物之程序順序可重複一或多次。於一些實施例中,該程序順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應腔室12而自反應腔室移除。
於本發明之一些實施例中,該記憶體144中之該程式可程式化成用以於該順序控制器142上執行時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分配系統及移除系統,以提供第一前驅物、隨後提供反應物、接著再提供第二前驅物。於一些實施例中,提供第一前驅物、隨後提供反應物、接著再提供第二前驅物之程序順序可重複一或多次。於一些實施例中,該程序順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應腔室12而自反應腔室移除。
於本發明之一些實施例中,該記憶體144中之該程式可程式化成用以於該順序控制器142上執行時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分配系統及移除系統,以提供反應物、隨後提供第一前驅物、接著提供第二前驅物、而後再提供反應物。於一些實施例中,提供反應物、隨後提供第一前驅物、接著提供第二前驅物、而後再提供反應物之程序順序可重複一或多次。於一些實施例中,該程序順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應腔室12而自反應腔室移除。
於本發明之一些實施例中,該記憶體144中之該程式可程式化成用以於該順序控制器142上執行時藉由以下來執行對該可滲性材料106之滲入:啟動該前驅物分配系統及移除系統,以提供反應物、隨後提供第一前驅物、接著提供反應物、而後再提供第二前驅物。於一些實施例中,提供反應物、隨後提供第一前驅物、接著提供反應物、而後再提供第二前驅物之程序順序可重複一或多次。於一些實施例中,該程序順序中的每一步驟後可接著進行沖洗循環,以利用真空泵138並視情況從源容器116流入沖洗氣體,將過量前驅物及副產物藉由排出反應腔室12而自反應腔室移除。
上述揭露內容之示範實施例並沒有限制本發明的範圍,因為這些實施例僅為本發明之實施例之示範,本發明的範圍由所附申請專利範圍及其合法均等物來定義。任何等效實施例意指在本發明的範圍內。實際上,除本文中所示及所述者之外,本發明之各種修改(諸如,所述元件之替代有用的組合)對於熟悉該項技藝者來說可根據描述而變得顯而易見。這樣的修改及實施例同樣意欲落在所附申請專利範圍之範圍內。
1‧‧‧基板處理設備 2‧‧‧盒式儲存部分 3‧‧‧盒 4‧‧‧處理部分 5‧‧‧界面部分 6‧‧‧基板處置器 7‧‧‧第一濕處理站 8‧‧‧第二濕處理站 9‧‧‧加熱站 10‧‧‧冷卻站 11‧‧‧處理站 12‧‧‧反應腔室 13‧‧‧基板固持器 14‧‧‧前驅物分配及移除系統 15‧‧‧基板 16‧‧‧基板台 17‧‧‧基板台 106‧‧‧可滲性材料 110‧‧‧加熱元件 112‧‧‧氣體輸送系統 114A‧‧‧前驅物源 114B‧‧‧前驅物源 116‧‧‧源容器 118‧‧‧反應物源容器 120A‧‧‧流量控制器 120B‧‧‧流量控制器 120C‧‧‧流量控制器 120D‧‧‧流量控制器 122A‧‧‧閥 122B‧‧‧閥 122C‧‧‧閥 122D‧‧‧閥 124‧‧‧氣體管線 126‧‧‧氣體管線 128‧‧‧氣體管線 130‧‧‧氣體管線 132‧‧‧氣體配送器 134‧‧‧排出口 136‧‧‧排出管線 138‧‧‧真空泵 140‧‧‧排出管線 142‧‧‧順序控制器 144‧‧‧記憶體 144A‧‧‧控制線 144B‧‧‧控制線 144C‧‧‧控制線 146‧‧‧電漿產生器
雖然本說明書以特別指出且明確主張被視為本發明的實施例之權利的申請專利範圍作為結論,但是當結合所附圖式來閱讀時,可以從本發明的實施例之某些實例的敍述更容易地確定本發明之實施例的優點,在所附圖式中:
圖1說明根據本發明之實施例之基板處理設備。
圖2說明用於圖1之基板處理設備之非限制性例示性額外處理站。
1‧‧‧基板處理設備
2‧‧‧盒式儲存部分
3‧‧‧盒
4‧‧‧處理部分
5‧‧‧界面部分
6‧‧‧基板處置器
7‧‧‧第一濕處理站
8‧‧‧第二濕處理站
9‧‧‧加熱站
10‧‧‧冷卻站
11‧‧‧處理站
12‧‧‧反應腔室
13‧‧‧基板固持器
14‧‧‧前驅物分配及移除系統
15‧‧‧基板
16‧‧‧基板台
17‧‧‧基板台

Claims (22)

  1. 一種基板處理設備,其包含:一濕處理站,其包含用於在一基板上塗佈一抗蝕劑之一抗蝕劑塗佈裝置及/或用於在該基板上顯影該抗蝕劑之一顯影處理裝置;一額外處理站;以及一基板處置器,其用於將該基板移動至該濕處理站及/或該額外處理站,且在進及/或出該基板處理設備之一方向上移動該基板;其中該額外處理站包含一滲入裝置,該滲入裝置包含:一反應腔室,其設有一基板固持器,以固持具有可滲性材料之至少一個基板;一前驅物分配及移除系統,其包含:一第一前驅物源容器,其經建構且配置以固持一氣態第一前驅物;一第二前驅物源容器,其經建構且配置以固持一氣態第二前驅物;一反應物源容器,其經建構且配置以固持一反應物;一或多個反應腔室閥,以將該第一前驅物、該第二前驅物及該反應物提供至該反應腔室及/或自該反應腔室移除該第一前驅物、該第二前驅物及該反應物;以及一真空泵,配置以將氣體自該反應腔室內排空;以及一順序控制器,其可操作地連接至該前驅物分配及移除系統且包含一記憶體,該記憶體設有一程式以當在該順序控制器上執行時藉由一滲入循環執行該基板上該可滲性材料之滲入,該滲入循環包含:啟動該前驅物分配及移除系統以將該第一前驅物提供至該反應腔室持續一第一時段,在該第一時段後將該反應物提供至該反應腔室持續一第二時段,在該第二時段後將該第二前驅物提供至該反應腔室持續一第三時段,以 及在該第三時段後將該反應物提供至該反應腔室持續一第四時段;其中該滲入循環中的每一步驟後接著進行一沖洗循環,以利用該真空泵將前驅物及/或反應物藉由排出該反應腔室而自該反應腔室移除。
  2. 如請求項1之基板處理設備,其中,該第一前驅物或該第二前驅物包含烷氧配位基及烷氧配位基以外之額外配位基。
  3. 如請求項2之基板處理設備,其中,儲存在該記憶體中之該滲入循環更包含啟動該前驅物分配及移除系統以在該第三時段後自該反應腔室移除該第二前驅物之一部分,並重複該滲入循環1至60次。
  4. 如請求項1之基板處理設備,其中儲存在該記憶體中之該滲入循環具有比該第三時段長之該第一時段。
  5. 如請求項1之基板處理設備,其中儲存在該記憶體中之該滲入循環具有比該第一時段長之該第三時段。
  6. 如請求項1之基板處理設備,其中,儲存在該記憶體中之該滲入循環更具有在該第三時段之5至100倍之間的該第一時段。
  7. 如請求項1之基板處理設備,其中,該額外處理站被建構及配置成在該可滲性材料中滲入一金屬。
  8. 如請求項1之基板處理設備,其中,該第一前驅物或該第二前驅物包含一金屬鹵化物。
  9. 如請求項1之基板處理設備,其中,該第一前驅物或該第二前驅物包含鎂及/或鈣。
  10. 如請求項1之基板處理設備,其中,該第一前驅物或該第二前驅物包含一金屬為來自包含以下各者之群組:鋁(Al)、鉿(Hf)、鎵(Ga)、鍺(Ge)、鋯(Zr)、銦(In)、鋰(Li)、碲(Te)、銻(Sb)及錫(Sn)。
  11. 如請求項1之基板處理設備,其中,該第一前驅物或該第二前驅 物包含SnI4或SnCl4。
  12. 如請求項1之基板處理設備,其中,該反應物包含一金屬烷基醯胺。
  13. 如請求項1之基板處理設備,其中該反應物包含三甲基鋁(TMA)、三乙基鋁(TEA)及二甲基氫化鋁(DMAH)、四乙基錫、四甲基錫或乙醯基丙酮酸錫。
  14. 如請求項1之基板處理設備,其中,該反應物包含一氧前驅物,且其中該氧前驅物包含來自選自以下各者之群組之材料:O2、O3、H2O及H2O2
  15. 如請求項1之基板處理設備,其中,該額外處理站被建構及配置成滲入矽。
  16. 如請求項1之基板處理設備,其中,該額外處理站被建構及配置成將該反應腔室之溫度控制在20℃與450℃之間的一值。
  17. 如請求項1之基板處理設備,其中,該額外處理站被建構及配置成將該反應腔室中之壓力控制在1與100托之間的一值。
  18. 如請求項1之基板處理設備,其中,該濕處理站包含:一第一濕處理站,其包含用於在一基板上塗佈一抗蝕劑之一抗蝕劑塗佈裝置;以及一第二濕處理站,其包含用於顯影該抗蝕劑之一顯影處理裝置。
  19. 如請求項1之基板處理設備,其中,該濕處理站包含用於旋轉該基板之一可旋轉基板台及用於向該基板之表面提供一液體之一液體配送器。
  20. 如請求項1之基板處理設備,其中該可滲性材料包含一經圖案化抗蝕劑層,且該基板處置器被建構及配置成將該基板自該濕處理站中之該顯影處理裝置移動至該額外處理站以滲入該經圖案化抗蝕劑。
  21. 如請求項1之基板處理設備,其中,該可滲性材料包含一平坦抗 蝕劑層,且該基板處置器被建構及配置成將該基板自該濕處理站中之該抗蝕劑塗佈裝置移動至該額外處理站以滲入該抗蝕劑層。
  22. 一種基板處理方法,其包含:將一基板提供至一基板處理設備;用一基板處置器將該基板移動至在該基板處理設備之一濕處理站中的一抗蝕劑塗佈裝置;在該基板上塗佈一抗蝕劑層;用該基板處置器將該經塗佈之基板移動至一微影設備以進行圖案化;藉由該基板處理設備自該微影設備接收具有一經圖案化抗蝕劑層之一基板;用該基板處置器將該基板移動至該濕處理站中之一顯影處理裝置;在該基板上顯影該經圖案化抗蝕劑層;用該基板處置器將具有該經圖案化抗蝕劑層之該基板移動至一額外處理站之一基板台;在反應腔室中提供一第一氣態前驅物持續一第一時段以滲入該基板上之經圖案化抗蝕劑層材料;在該第一時段後,提供一反應物持續一第二時段;在該第二時段後,提供一氣態第二前驅物持續一第三時段;以及在該第三時段後,提供該反應物持續一第四時段;其中該第一時段、該第二時段、該第三時段及該第四時段每一者之後接著進行一沖洗循環,以將前驅物及/或反應物藉由排出該反應腔室而自該反應腔室移除。
TW108128570A 2018-08-23 2019-08-12 基板處理設備及方法 TWI827645B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862722045P 2018-08-23 2018-08-23
US62/722,045 2018-08-23

Publications (2)

Publication Number Publication Date
TW202009609A TW202009609A (zh) 2020-03-01
TWI827645B true TWI827645B (zh) 2024-01-01

Family

ID=69584055

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108128570A TWI827645B (zh) 2018-08-23 2019-08-12 基板處理設備及方法

Country Status (5)

Country Link
US (1) US20200064737A1 (zh)
JP (1) JP2020074354A (zh)
KR (1) KR20200023196A (zh)
CN (1) CN110858554A (zh)
TW (1) TWI827645B (zh)

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020001971A1 (en) * 2000-06-27 2002-01-03 Hag-Ju Cho Methods of manufacturing integrated circuit devices that include a metal oxide layer disposed on another layer to protect the other layer from diffusion of impurities and integrated circuit devices manufactured using same
US20120046421A1 (en) * 2010-08-17 2012-02-23 Uchicago Argonne, Llc Ordered Nanoscale Domains by Infiltration of Block Copolymers
TW201237561A (en) * 2011-02-01 2012-09-16 Asml Netherlands Bv Substrate table, lithographic apparatus and device manufacturing method
US20130256265A1 (en) * 2011-03-24 2013-10-03 Uchicago Argonne Llc Sequential Infiltration Synthesis for Enhancing Multiple-Patterning Lithography
US20150241787A1 (en) * 2014-02-26 2015-08-27 Tokyo Electron Limited Substrate processing method, program, computer-readable storage medium, and substrate processing system
US20160268107A1 (en) * 2015-03-12 2016-09-15 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20170358482A1 (en) * 2016-06-08 2017-12-14 Asm Ip Holding B.V. Selective deposition of metallic films

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5925494A (en) * 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
KR101112545B1 (ko) * 2004-12-16 2012-03-13 스미또모 가가꾸 가부시끼가이샤 감광성 수지 및 상기 감광성 수지로 이루어진 패턴을포함하는 박막 표시판 및 그 제조 방법
US20060211259A1 (en) * 2005-03-21 2006-09-21 Maes Jan W Silicon oxide cap over high dielectric constant films
WO2009123122A1 (ja) * 2008-03-31 2009-10-08 大日本印刷株式会社 塩基発生剤、感光性樹脂組成物、当該感光性樹脂組成物からなるパターン形成用材料、当該感光性樹脂組成物を用いたパターン形成方法並びに物品
KR101030531B1 (ko) * 2008-12-24 2011-04-25 엘지디스플레이 주식회사 전계방출장치, 전계방출 표시장치 및 그 제조 방법
WO2011123675A1 (en) * 2010-04-01 2011-10-06 President And Fellows Of Harvard College Cyclic metal amides and vapor deposition using them
US8980418B2 (en) * 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
US9721754B2 (en) * 2011-04-26 2017-08-01 Carl Zeiss Smt Gmbh Method and apparatus for processing a substrate with a focused particle beam
JP6136613B2 (ja) * 2012-09-21 2017-05-31 東京エレクトロン株式会社 プラズマ処理方法
TW201814080A (zh) * 2012-10-23 2018-04-16 美商.應用材料股份有限公司 包含具高鋁含量的鋁合金之膜的沉積
JP5871844B2 (ja) * 2013-03-06 2016-03-01 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US9673042B2 (en) * 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
GB201604050D0 (en) * 2016-03-09 2016-04-20 Isis Innovation A/M/X material production process with alkylamine
US9892913B2 (en) * 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN111954922A (zh) * 2018-04-09 2020-11-17 朗姆研究公司 使用有机硅前体修饰晶片表面的疏水性
JP2019204815A (ja) * 2018-05-21 2019-11-28 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US20220251706A1 (en) * 2019-08-09 2022-08-11 Kojundo Chemical Laboratory Co., Ltd. Bis(ethylcyclopentadienyl)tin, precursor for chemical vapor deposition, method of producing tin-containing thin film, and method of producing tin oxide thin film

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020001971A1 (en) * 2000-06-27 2002-01-03 Hag-Ju Cho Methods of manufacturing integrated circuit devices that include a metal oxide layer disposed on another layer to protect the other layer from diffusion of impurities and integrated circuit devices manufactured using same
US20120046421A1 (en) * 2010-08-17 2012-02-23 Uchicago Argonne, Llc Ordered Nanoscale Domains by Infiltration of Block Copolymers
TW201237561A (en) * 2011-02-01 2012-09-16 Asml Netherlands Bv Substrate table, lithographic apparatus and device manufacturing method
US20130256265A1 (en) * 2011-03-24 2013-10-03 Uchicago Argonne Llc Sequential Infiltration Synthesis for Enhancing Multiple-Patterning Lithography
US20150241787A1 (en) * 2014-02-26 2015-08-27 Tokyo Electron Limited Substrate processing method, program, computer-readable storage medium, and substrate processing system
US20160268107A1 (en) * 2015-03-12 2016-09-15 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20170358482A1 (en) * 2016-06-08 2017-12-14 Asm Ip Holding B.V. Selective deposition of metallic films

Also Published As

Publication number Publication date
JP2020074354A (ja) 2020-05-14
TW202009609A (zh) 2020-03-01
US20200064737A1 (en) 2020-02-27
KR20200023196A (ko) 2020-03-04
CN110858554A (zh) 2020-03-03

Similar Documents

Publication Publication Date Title
TWI827645B (zh) 基板處理設備及方法
TWI826451B (zh) 滲入設備及滲入可滲性材料之方法
US20210033977A1 (en) Substrate processing apparatus and method
TWI795094B (zh) 處理設備、圖案化結構及其製造方法
JP2023171842A (ja) 改良された未露光フォトレジスト層の形成方法
JP2018164079A (ja) 窒化シリコンの選択的成長
US20220342301A1 (en) Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
TW201608612A (zh) 多站基板沉積系統中之單一原子層沉積循環厚度控制
US20220308462A1 (en) Apparatus for photoresist dry deposition
JP2018152560A (ja) 触媒制御を用いる酸化シリコン上への窒化シリコンの選択的堆積
TWI798371B (zh) 使用水解之選擇性沉積
TW202214906A (zh) 半導體整合膜的沉積
TW202219307A (zh) 半導體整合膜的沉積