JP6136613B2 - プラズマ処理方法 - Google Patents

プラズマ処理方法 Download PDF

Info

Publication number
JP6136613B2
JP6136613B2 JP2013125684A JP2013125684A JP6136613B2 JP 6136613 B2 JP6136613 B2 JP 6136613B2 JP 2013125684 A JP2013125684 A JP 2013125684A JP 2013125684 A JP2013125684 A JP 2013125684A JP 6136613 B2 JP6136613 B2 JP 6136613B2
Authority
JP
Japan
Prior art keywords
magnetic field
gas
plasma
processing
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013125684A
Other languages
English (en)
Other versions
JP2014078685A (ja
Inventor
清水 亮
亮 清水
有 和村
有 和村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2013125684A priority Critical patent/JP6136613B2/ja
Priority to KR1020130111976A priority patent/KR101813738B1/ko
Priority to TW102133852A priority patent/TWI577246B/zh
Priority to US14/031,411 priority patent/US9165780B2/en
Publication of JP2014078685A publication Critical patent/JP2014078685A/ja
Application granted granted Critical
Publication of JP6136613B2 publication Critical patent/JP6136613B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、処理ガスのプラズマ化によって得られたプラズマを用いて、基板に対してプラズマ処理を行うプラズマ処理方法に関する。
半導体ウエハ(以下「ウエハ」と言う)に例えば酸化シリコン(SiO2)膜や窒化シリコン(Si3N4)膜などの薄膜を成膜する手法として、シリコンを含む原料ガスやこの原料ガスと反応する反応ガス(酸化ガスや窒化ガス)などの処理ガスのプラズマ化によって得られたプラズマを利用する方法が知られている。具体的な成膜方法としては、CVD(Chemical Vapor Deposition)法及びALD(Atomic Layer Deposition)法が挙げられる。即ち、CVD法の場合には、原料ガスと反応ガスとを気相中でプラズマ化して反応させて、この反応によって生成した反応生成物をウエハ上に堆積させる。一方、ALD法では、原料ガスのプラズマと反応ガスのプラズマとをウエハに対して交互に供給して、ウエハの表面にてシリコン成分の吸着と当該シリコン成分の反応とを交互に行う。
このような成膜方法に用いられる成膜装置の一例としては、例えばウエハを載置する載置部を処理容器内に下部電極として設けると共に、この載置部に対向するように上部電極をなすガスシャワーヘッドを配置した構成が挙げられる。そして、処理容器内に処理ガスを供給すると共に、載置部及びガスシャワーヘッドの一方に対して周波数が例えば13.56MHzの高周波電力を供給することにより、処理ガスのプラズマが得られる。
ここで、ウエハの表面にホールや溝などの凹部が形成されている場合、この凹部のAR(アスペクトレシオ)が数十あるいは数百程度もの大きさになると、当該凹部の内壁面では良好な成膜処理が困難になってしまう。即ち、ウエハの水平面(凹部の底面やウエハの上面)と比べて、前記内壁面では薄膜の膜厚が薄くなったり、あるいは薄膜の膜質が悪くなったりしてしまう。
特許文献1には、処理チャンバの外側にダイポールリング磁石を配置した装置が記載されているが、既述の課題については検討されていない。
特開2007−281414
本発明はこのような事情に鑑みてなされたものであり、その目的は、表面に凹部が形成された基板に対してプラズマを用いてプラズマ処理を行うにあたり、凹部の内壁面においても基板の水平面と同様なプラズマ処理を行うことのできるプラズマ処理方法を提供することにある。
本発明のプラズマ処理方法は、
基板の載置部をなす下部電極と前記載置部に対向する上部電極とを備えたプラズマ処理装置を用い、凹部が形成された半導体デバイス製造用の基板にプラズマを用いて成膜する方法において、
処理容器内に設けられた載置部に、基板を載置する工程と、
前記処理容器内を真空排気する工程と、
次いで、前記処理容器内に処理ガスを供給する工程と、
続いて、処理ガスを高周波電力によりプラズマ化してプラズマを得る工程と、
平面で見た時に基板の周縁を囲む磁力線のループが形成されないように、当該基板の周縁における少なくとも一箇所の磁力線が開口する磁場を処理雰囲気に形成して、処理ガスのプラズマ中における電子を基板の表面に沿う方向に移動させる工程と、を含み、
前記プラズマを得る工程は、電気的なカップリングの状態を、高周波電源を前記上部電極に接続したアノードカップリングの状態と、前記高周波電源を前記下部電極に接続したカソードカップリングの状態と、の間で交互に切り替える工程を含むことを特徴とする。
前記移動させる工程の後、処理雰囲気における磁力線を切り替えることにより、前記電子の移動方向を、前記方向とは異なる方向で且つ基板の表面に沿う方向に切り替える工程を行っても良い。前記移動させる工程は、前記磁場を形成した時のプラズマ密度及び当該磁場を形成しない時におけるプラズマ密度を夫々P1及びP2とすると、P1とP2とがほぼ等しくなっていても良い。


本発明は、基板に対して処理ガスのプラズマ化によって得られたプラズマを用いてプラズマ処理を行うにあたり、基板の表面に沿う方向に電子を移動させるための磁場を処理容器内に形成している。そして、この磁場について、平面で見た時に磁力線が基板の周縁を囲まないように、基板の周縁における少なくとも一箇所が開口するように形成している。そのため、基板の表面に凹部が形成されていても、プラズマ密度の増加が起こらないため、基板へのダメージの発生を抑えながら、基板の表面や凹部の底面と同様に、凹部の内壁面についても電子やイオンを衝突させることにより、プラズマ処理を良好に行うことができる。従って、プラズマ処理が原料ガスとこの原料ガスとを用いた成膜処理の場合には、凹部の内壁面を含む基板の露出面に亘って、膜厚及び膜質の揃った薄膜を形成できる。
本発明の第1の実施の形態におけるプラズマ処理装置の一例を示す縦断面図である。 前記プラズマ処理装置における磁場形成機構を示す概略図である。 前記磁場形成機構の配置レイアウトを模式的に示す平面図である。 前記磁場形成機構によって形成される磁力線を示す平面図である。 前記磁場形成機構によって形成される磁力線を示す平面図である。 前記磁場形成機構によって形成される磁力線を示す平面図である。 前記磁場形成機構によって形成される磁力線を示す平面図である。 本発明における作用を模式的に示す基板の縦断面図である。 本発明における作用を模式的に示す基板の縦断面図である。 本発明における作用を模式的に示す基板の縦断面図である。 本発明における作用を模式的に示す基板の縦断面図である。 処理ガスをプラズマ化するための高周波を示す特性図である。 イオンを上下方向に移動させるための高周波を示す特性図である。 処理容器内に供給される高周波を合成した特性を示す特性図である。 電子が移動する様子を模式的に示す作用図である。 電子が移動する様子を模式的に示す作用図である。 電子が移動する様子を模式的に示す作用図である。 電子が移動する様子を模式的に示す作用図である。 電子が移動する様子を模式的に示す作用図である。 本発明の他の例を示す縦断面図である。 前記他の例の作用を示す縦断面図である。 前記他の例の作用を示す縦断面図である。 本発明の別の例を示す縦断面図である。 本発明の更に他の例を示す平面図である。 前記更に他の例の作用を示す平面図である。 前記更に他の例の作用を示す平面図である。 本発明の更に別の例を示す平面図である。 本発明の他の例を示す平面図である。 本発明の他の例を示す平面図である。 本発明の他の例を示す平面図である。 本発明の他の例を示す平面図である。 本発明の他の例を示す平面図である。 本発明の他の例を示す平面図である。 前記他の例を示す平面図である。 本発明の他の例を示す斜視図である。 本発明の他の例の作用を示す基板の縦断面図である。 本発明の他の例の作用を示す基板の縦断面図である。 本発明の他の例の作用を示す基板の縦断面図である。 本発明の他の例の作用を示す基板の縦断面図である。 本発明の第2の実施の形態におけるプラズマ処理装置の一例を示す縦断面図である。 前記プラズマ処理装置を示す斜視図である。 前記プラズマ処理装置を示す横断平面図である。 前記プラズマ処理装置の一部を拡大して示す斜視図である。 前記プラズマ処理装置を模式的に示す縦断面図である。 前記プラズマ処理装置の他の例を示す平面図である。 前記他の例におけるプラズマ処理装置を示す縦断面図である。 前記プラズマ処理装置の他の例を示す平面図である。 前記プラズマ処理装置の他の例を示す平面図である。 前記プラズマ処理装置の他の例を示す平面図である。 前記プラズマ処理装置の他の例を示す平面図である。 本発明の第3の実施の形態におけるプラズマ処理装置の一例を示す縦断面図である。 前記プラズマ処理装置を示す横断平面図である。 本発明の実施例にて得られた実験結果を示す特性図である。
[第1の実施の形態:枚葉装置]
本発明のプラズマ処理装置の第1の実施の形態の一例について、図1〜図3を参照して説明する。始めにこのプラズマ処理装置の概略について簡単に説明すると、この装置は、互いに反応する複数種類この例では2種類の処理ガスのプラズマをウエハWに対して順番に(交互に)供給して反応生成物を積層するALD法により薄膜を成膜する成膜装置として構成されている。そして、数十あるいは数百程度もの大きさのアスペクトレシオを持つ凹部が形成されているウエハWであっても、当該凹部の内壁面における薄膜について、ウエハの水平面と同レベルの膜厚及び膜質で成膜出来るように構成されている。続いて、成膜装置の構成及びこの成膜装置を用いた成膜方法について、以下に説明する。
成膜装置は、例えばアルミニウムなどの透磁材(磁力を透過させる材料)により構成された概略円筒形状の処理容器1と、この処理容器1内において直径寸法が例えば300mmのウエハWを載置するための載置部2とを備えている。処理容器1は、平面で見た時に、上方側の部位が下方側の部位よりも縮径するように形成されている。そして、前記上方側の部位の外側には、処理容器1内においてウエハWの表面に沿って水平方向にプラズマ中の電子を移動させるために、後述する磁場形成機構20が配置されている。図1中9は、処理容器1内に対してウエハWの搬入出を行うための搬送口であり、Gはゲートバルブである。
載置部2には、ヒータ2aが設けられており、図示しない電源部から供給される電力によって、載置部2上のウエハWを成膜温度例えば300℃に加熱するように構成されている。載置部2の下面側には、導電体からなる下部電極3が配置されており、この下部電極3は接地されている。
下部電極3の下面側中央部には、当該下部電極3を昇降自在に支持する昇降軸4が接続されており、ウエハWに対して成膜処理を行う上位置と、載置部2に対してウエハWの搬入出を行う下位置との間で載置部2及び下部電極3を一体的に昇降するように構成されている。また、載置部2及び下部電極3を上下方向に貫通するように、当該載置部2に上端部が支持された昇降ピン5が例えば3カ所に配置されている。そして、昇降ピン5は、載置部2が前記下位置に下降すると、昇降軸4の周囲を囲むように処理容器1の底面部に配置されたリング部材6によって、当該昇降ピン5の下降が規制されると共にウエハWを載置部2から持ち上げるように構成されている。図1中7は、処理容器1を気密に維持するために昇降軸4の周囲に設けられたベローズである。
既述の磁場形成機構20は、この例では電磁石により構成されており、具体的には図2にも示すように、磁性材例えば鉄などからなる概略棒状の芯材21と、当該芯材21の外側にて芯材21の長さ方向に沿って一端側から他端側に向かってコイル状に巻回された導電線22とを備えている。この導電線22にはスイッチ24を介して直流電源部23が接続されており、磁場形成機構20は、スイッチ24を切り替えることによって、芯材21の一端側及び他端側における磁極(S極またはN極)を切り替えできるように構成されている。
即ち、導電線22の一端側及び他端側には、各々スイッチ24、24が磁場切り替え機構として設けられている。そして、これらスイッチ24、24は、各々直流電源部23の+の端子及び−の端子に接続されている。従って、導電線22の一端側及び他端側について、直流電源部23の+の端子及び−の端子に夫々接続した時と、−の端子及び+の端子に夫々接続した時とでは、処理容器1内に形成される磁界の向きが反転する。尚、磁界(磁力線)は、実際には後述の図4〜図7のように磁場形成機構20の磁極の向きに応じて様々な形状となるように形成されるが、ここでは簡略化して説明している。
そして、磁場形成機構20は、水平方向に向かう磁力線を処理容器1内に形成するために、芯材21が水平方向に沿って伸びるように、且つ当該芯材21の長さ方向における一端側が処理容器1側を向くように配置されている。こうして磁場形成機構20は、処理容器1内に形成される磁力線について、水平方向に沿って一方側から他方側に向かう方向と、前記他方側から前記一方側に向かう方向とを切り替えできるように構成されている。尚、導電線22の表面は、芯材21に対して当該導電線22を絶縁するために、例えば樹脂などにより被覆されている。
また、磁場形成機構20は、磁力線がウエハWの表面における凹部内に形成されるように、当該磁場形成機構20の高さ位置が設定されている。即ち、磁場形成機構20は、例えば上位置における載置部2から見た時に側方側に位置するように配置されている。具体的には、この例では磁場形成機構20は、前記上位置における載置部2上のウエハWの表面の高さ位置と、当該ウエハWの下面の高さ位置との間の領域を跨ぐように配置されている。
磁場形成機構20は、図3に示すように、処理容器1の周方向に沿って複数箇所例えば8カ所に配置されており、具体的には水平方向における一方側及び当該一方側に対向する他方側を夫々前方側及び奥側と呼ぶと、処理容器1の前方側には、互いに離間するように2つの磁場形成機構20、20が配置されている。処理容器1の奥側には、前記前方側の2つの磁場形成機構20に各々対向するように、2つの磁場形成機構20、20が配置されている。そして、前方側及び奥側を結ぶラインに対して直交する水平ラインの一方側(右側)及び他方側(左側)についても、各々互いに離間して配置された2つの磁場形成機構20、20が設けられている。図1中24aは、各々の磁場形成機構20の上方側及び下方側に設けられた永久磁石などからなる磁力線閉じこめ部材であり、磁場形成機構20によって形成された磁力線が処理容器1の外側に拡散することを抑えるために配置されている。
従って、これら8つの磁場形成機構20の各々の磁極を互いに個別に設定することにより、処理容器1内には様々な磁力線が形成されることになる。図4〜図7は、各々の磁場形成機構20の磁極を個別に設定した時に、処理容器1内に形成される磁力線をシミュレーションした結果を示している。ここで、処理容器1の奥側の2つの磁場形成機構20、20のうち右側の磁場形成機構20に「第1」を付すと共に、この第1の磁場形成機構20から時計周りに順に「第2」、「第3」、、「第8」を付すと、図4〜図7における各々の磁場形成機構20の磁極の向き(処理容器1側の磁極)は、以下の表のようになっている。尚、この表では、磁場形成機構20を「磁石」と簡略化している。
(表)
図4〜図7から分かるように、いずれの例についても処理容器1内では磁力線のループが閉じていないことが分かる。従って、表の各例では、処理容器1に磁場を形成しても、プラズマが閉じ込められないと言える。そのため、磁場形成機構20によって磁場を形成した時における処理容器1内(処理雰囲気)のプラズマ密度P1は、磁場を形成しない時における処理容器1内のプラズマ密度をP2とすると、P1とP2とはほぼ等しくなっている。ここで、P1とP2とが「ほぼ等しい」とは、P1がP2×1.10よりも小さいことを言い、好ましくはP1≦P2×1.05であり、更に好ましくはP1≦P2×1.01である。
ここで、「磁力線のループが閉じていない」とは、言い換えると以下の(a)〜(d)の各々と同義である。
(a)平面で見た時に、ウエハWを囲む環状の磁力線が形成されていない
(b)複数の磁場形成機構20のうち一の磁場形成機構20と、当該一の磁場形成機構20に隣接する他の磁場形成機構20との間では、平面で見た時に、これら一の磁場形成機構20と他の磁場形成機構20とを接続する磁力線が形成されていない
(c)平面で見た時に、磁力線は、ウエハWの周縁における少なくとも一箇所が開口している
(d)ウエハWの外側におけるある任意の位置から、当該ウエハWの周囲を一回りするように磁力線をたどろうとしても、元の位置に戻ることができない
従って、磁場形成機構20をあるレイアウトで配置した時、シミュレーションを行うことにより、磁力線のループが閉じているか否かが分かる。尚、図4〜図7では省略しているが、8つの磁場形成機構20により囲まれた領域が処理容器1の内部を表している。また、図4〜図7では、磁場形成機構20について模式的に描画している。
続いて、処理容器1の説明に戻ると、載置部2に対向するように、処理容器1の天井面には、当該処理容器1内に処理ガスを供給するための処理ガス供給部をなすガスシャワーヘッド12が設けられている。ガスシャワーヘッド12には、処理ガスをプラズマ化するために、周波数が例えば13.56MHzの高周波電源12aが整合器12bを介して接続されている。また、このガスシャワーヘッド12には、プラズマ中のイオンを上下方向に移動させるために、イオンが追従できる程度の周波数(例えば430kHz)の高周波電源3aが整合器3bを介して接続されている。この例では、処理ガスとして例えばシリコンを含む原料ガス(例えばビスジエチルアミノシランガス)と、この原料ガスと反応する反応ガス(例えば酸素ガス)とを用いており、従ってガスシャワーヘッド12の上端面には、原料ガス供給路11a及び反応ガス供給路11bの一端側が各々接続されている。
そして、ガスシャワーヘッド12は、これら原料ガス及び反応ガスが当該ガスシャワーヘッド12内にて互いに混じり合わないように構成されている。具体的には、ガスシャワーヘッド12の内部には、原料ガス及び反応ガスが夫々拡散する空間13、14が夫々個別に独立して配置されると共に、これら空間13、14の下方側には、処理容器1の内部領域に連通するガス吐出孔15が夫々個別に形成されている。図1中16及び17は、夫々原料ガスの貯留部及び反応ガスの貯留部であり、18は原料ガス供給路11a及び反応ガス供給路11bに対してプラズマ発生用ガス(例えばアルゴン(Ar)ガス)を供給するための貯留部である。また、図1中19a及び19bは、夫々バルブ及び流量調整部であり、10は絶縁部材である。
処理容器1の床面近傍における側壁面には、当該処理容器1内を真空排気するための排気口31が形成されており、この排気口31から伸びる排気路32には、バタフライバルブなどの圧力調整部33を介して排気機構をなす真空ポンプ34が接続されている。
このプラズマ処理装置には、装置全体の動作のコントロールを行うためのコンピュータからなる制御部41が設けられており、この制御部41のメモリ内には後述のプラズマ処理を行うためのプログラムが格納されている。このプログラムは、ハードディスク、コンパクトディスク、光磁気ディスク、メモリカード、フレキシブルディスクなどの記憶媒体である記憶部42から制御部41内にインストールされる。
続いて、上述の第1の実施の形態の作用について説明する。先ず、載置部2を下位置に設定すると共に、ゲートバルブGを開放して、図示しない搬送アームにより処理容器1内にウエハWを搬入し、昇降ピン5の上方側にウエハWを位置させる。このウエハWの表面には、後述の図8のように、アスペクトレシオが数十あるいは数百もの大きさの凹部50が形成されている。そして、載置部2を上昇させながらウエハWを受け取ると共に、搬送アームを処理容器1から退避させる。次いで、ゲートバルブGを閉じると共に、載置部2を上方位置に位置させて、圧力調整部33を介して処理容器1内を処理圧力に設定する。
次いで、高周波電源3a、12aに対して高周波電力を供給すると共に、例えば既述の図4〜図7のいずれかの磁力線が処理容器1内に形成されるように、各々のスイッチ24をオンにする。そして、プラズマ発生用ガスと共に原料ガスを処理容器1内に供給すると、図8に示すように、高周波電源12aの高周波電力によって原料ガスがプラズマ化してプラズマが生成する。このプラズマは、ウエハWの表面における凹部50の内部に拡散して、当該凹部50の内壁面及び底面を含むウエハWの露出面に亘って、原料ガスの成分からなる原子層あるいは分子層が吸着層51として吸着する。
次に、プラズマ発生用ガスについては処理容器1内に供給し続けながら、原料ガスの供給を停止して、あるいは更に高周波電源3a、12aへの給電を停止して、当該処理容器1内の雰囲気の置換を行う。即ち、処理容器1内を真空排気しているので、原料ガスの供給を停止すると、当該処理容器1の内部では原料ガスが速やかに排出されて、不活性ガス雰囲気(アルゴンガスの雰囲気)となる。
続いて、反応ガスとプラズマ発生用ガスとを処理容器1内に供給すると共に、高周波電源3a、12aから供給する高周波電力によって、反応ガスをプラズマ化してプラズマを形成する。このプラズマにより、図9に示すように、ウエハWの露出面に形成された既述の吸着層51が酸化されて、酸化シリコン膜からなる反応層52が形成される。ここで、この反応層52の具体的な膜質や膜厚について、当該反応層52の形成メカニズムと共に、以下に詳述する。
即ち、反応層52が形成されるにあたり、始めに図10に示すように、プラズマ中の例えば酸素ラジカルが吸着層51と反応する。具体的には、この酸素ラジカルは、寿命がある程度長いので、また電気的に中性となっているので、処理容器1内のガス流れに沿って、活性を保ったまま凹部50の内部に拡散する。そして、この酸素ラジカルが例えば凹部50の内壁面における吸着層51に衝突した場合には、当該吸着層51と反応してシリコンと酸素とを含む反応生成物が生成する。こうして凹部50の内壁面や底面を含むウエハWの露出面に反応生成物が生成するが、この反応生成物は、イオンや電子による物理的な衝撃を受けていないため、それ程緻密化していない。
次いで、図11に示すように、プラズマに含まれる電子が反応生成物に衝突して、当該反応生成物に含まれる不純物が排出されたり、あるいは反応生成物中の原子や分子の再配列が起こったりすることにより、反応生成物が緻密化する。ここで、この電子の挙動について説明すると、図12に示すように、ガスシャワーヘッド12には既述の13.56MHzの高周波電力を供給している。また、このガスシャワーヘッド12には、図13に示すように、430kHzの高周波電力を供給しており、従って処理容器1内の電子は、図14に示すように、これら高周波電源3a、12aから供給される高周波の合成波によって移動する。即ち、処理容器1内の電子は、概略的な見方をすると、ガスシャワーヘッド12がプラスに帯電すると上に向かって移動し、一方ガスシャワーヘッド12がマイナスに帯電すると下方に向かって移動するので、図15に示すように、上下方向への振幅を繰り返す。そのため、高周波電源3a、12aの高周波だけで電子を移動させようとすると、言い換えると高周波電源3a、12aだけを用いて薄膜を成膜しようとすると、反応生成物の緻密化は、ウエハWの水平面(ウエハWの上面及び凹部50の底面)で選択的に起こり、一方凹部50の内壁面では起こりにくくなってしまう。
そこで、磁場形成機構20を用いて処理容器1内に磁場を形成し、図16に示すように、電子やイオンを水平方向に移動させている。即ち、図17に示すように、磁場が形成されていると、電子は、この磁場における磁力線に沿って伸びる軸周りに回転して螺旋軌道を描きながら、当該磁力線の伸びる方向(詳しくはN極からS極に向かう方向)に移動する。また、プラズマ中の酸素イオンは、電子とは逆方向に向かって水平方向に移動する。
従って、図18に示すように、凹部50の内壁面に対しても電子が衝突して、反応生成物の緻密化が進行する。また、凹部50の内壁面に酸素ラジカルと反応していない未反応の吸着層51が残っていても、プラズマ中の酸素イオンが電子とは逆方向に移動することによって、当該吸着層51が反応して反応層52が形成される。そのため、膜厚についても、凹部50の内壁面ではウエハWの水平面と同レベルとなる。
そして、既述のように処理容器1内における磁場を切り替えることにより、図19に示すように、電子及び酸素イオンの進行経路が種々変わるので、凹部50の内壁面には、周方向に亘って緻密な反応層52が形成される。このような磁場の切り替えは、例えば既述の図4→図5→図6→図7の順番で行われると共に、ある磁場を維持する時間(一の磁場から他の磁場に切り替えるまでの時間)は、例えば75n秒〜5秒好ましくは150n秒〜1秒に設定される。
以上の一連のプラズマ処理を行っている間、既述のように、平面で見た時に磁力線のループが閉じないようにしている。そのため、処理容器1内ではプラズマの高密度化が抑制されるので、ウエハWへのダメージの発生が抑えられる。尚、吸着層51と酸素ラジカルや電子との反応は瞬時に起こるが、ここでは説明のために順を追って記載している。
こうして再度処理容器1内の雰囲気の置換を行った後、原料ガスの供給と反応ガスの供給とを交互に多数回行うと共に、これらガスを切り替えるときには処理容器1内の雰囲気を置換することにより、反応生成物が多層に亘って積層されて、緻密な薄膜が形成される。
上述の実施の形態によれば、ウエハWに対してプラズマを用いて薄膜を成膜するにあたり、処理容器1内に磁場を形成すると共に、平面で見た時にこの磁場における磁力線のループが閉じないようにしている。そのため、ウエハWにダメージが発生することを抑制しながら、プラズマ中の電子を当該ウエハWの表面に沿って移動させることができるので、ウエハWの表面にアスペクトレシオの大きな凹部50が形成されていても、ウエハWの水平面と同様に凹部50の内壁面についても膜質及び膜厚の良好な薄膜を形成できる。また、プラズマ中の活性種を拡散させるために処理容器1内を低圧力に設定する必要がないので、良好な(速い)成膜速度で成膜できる。
また、成膜処理の途中において処理容器1内の磁場を切り替えているので、凹部50の内壁面に周方向に亘って良好な膜質及び膜質を持つ薄膜を形成できる。従って、ウエハWをフッ酸水溶液に浸漬した場合には、ウエハWの面内に亘ってフッ酸水溶液に対する高い耐性が得られ、更にこのフッ酸水溶液によるエッチングレートが凹部50の内壁面を含むウエハWの露出面に亘って揃う。
更に、磁場形成機構20を処理容器1の外部に配置していることから、金属のコンタミ混入を抑えて成膜処理を行うことができる。
以上説明した第1の実施の形態では、電子やイオンを凹部50の内壁面に衝突させるにあたり、当該凹部50内にて磁力線が形成されるように、磁場形成機構20をウエハWの側方側に配置したが、ウエハWよりも上方側だけに磁場形成機構20を配置しても良い。即ち、ウエハWの上方側にて電子やイオンが磁力線に沿って水平方向に移動した場合には、凹部50内に磁力線が形成されていなくても、電子やイオンは、ウエハWに向かって下降する時、慣性力や惰性に基づいて凹部50内を水平方向に移動するので、同様の効果が得られる。
ここで、既述の例ではガスシャワーヘッド12に高周波電源12a、3aを各々接続したが、ガスシャワーヘッド12及び載置部2に対して高周波電源12aから各々高周波電力を供給できるように構成しても良い。この場合には、ガスシャワーヘッド12及び載置部2に対して交互に高周波電力が供給される。このように高周波電源12aを接続する理由について、以下に説明する。
即ち、プラズマの内部では、電子の数及びイオンの数が互いに等しくなっており、従ってプラズマは電気的に中性になっている。そして、プラズマ発生用の高周波電源12aについて、ガスシャワーヘッド12に接続した構成(アノードカップリング)では、反応に寄与する主な反応活性種は、ラジカル及び電子となっている。一方、高周波電源12aを載置部2側に接続した構成(カソードカップリング)では、ウエハWの近傍にイオンシースが形成されるため、ウエハWに近接して活性な電子やイオンが豊富に存在している。従って、カソードカップリングでは、アノードカップリングと比べて、薄膜の成長速度が速くなり、またカバレッジ(凹部50の内壁面への被覆性)が改善されると思われる。しかしながら、カソードカップリングでは、アノードカップリングと比べて、ウエハW(ウエハWに形成されたデバイス)へのダメージが大きい。
そこで、アノードカップリングとカソードカップリングとを組み合わせることにより、これらアノードカップリングやカソードカップリングを単独で用いる場合よりも、プラズマによるダメージについては最小限に留めながら、あるいはダメージを抑制しながら、速い成膜速度及び良好なカバレッジ特性が得られる。具体的には、図20に示すように、高周波電源12aとガスシャワーヘッド12及び載置部2とを各々接続すると共に、高周波電源12aとガスシャワーヘッド12との間に、第1のスイッチ61と、第2のスイッチ62とを配置する。ガスシャワーヘッド12側の第2のスイッチ62については、ガスシャワーヘッド12が高周波電源12a側に接続される位置と、アースに接続される位置との間で切り替え自在に構成する。一方、第1のスイッチ61については、高周波電源12aをガスシャワーヘッド12側に接続する位置と、載置部2側に接続する位置との間で切り替え自在に構成する。そして、第1のスイッチ61と載置部2との間に、第3のスイッチ63を設けて、この第3のスイッチ63についても載置部2が高周波電源12aに接続される位置とアースに接続される位置との間で切り替え自在に構成する。これらスイッチ61〜63は、高周波切り替え機構をなす。尚、図20中64は、高周波の進行波の反射を防止するための整合回路(マッチングボックス)である。
このような構成において、図20のように高周波電源12aをガスシャワーヘッド12に例えば1秒間に亘って接続した後、図21に示すように、スイッチ61〜63を切り替えて、高周波電源12aを載置部2側に例えば1秒間に亘って接続する。こうしてガスシャワーヘッド12及び載置部2に対して交互に高周波電源12aを接続する。その結果アノードカップリングとカソードカップリングとを交互に行うことができるので、既述のようにこれらアノードカップリング及びカソードカップリングの各々の長所を生かした成膜処理を行うことができる。即ち、メインの成膜処理についてはプラズマダメージの小さいアノードカップリングで行い、一方カバレッジの改善のために、短時間だけカソードカップリングにて成膜処理を行っている。図22は、このようなアノードカップリング及びカソードカップリングの切り替えシーケンスの一例を示しており、スイッチの切り替えを行う時には、ガスシャワーヘッド12と載置部2との間における短絡を防止するために、例えば100m秒の間に亘って高周波の出力をゼロにしている。
以上のようにアノードカップリングとカソードカップリングとを切り替えるにあたり、図23に示すように、高周波電源3aについても同様に第2のスイッチ62及び第3のスイッチ63を用いて、高周波電力の出力先を切り替えるようにしても良い。図23中65は、高周波電源3aとスイッチ62、63との間に設けられたスイッチである。
以下に、磁場形成機構20の配置レイアウトの他の例について説明する。図24は、処理容器1の外側において、当該処理容器1の周方向に沿って複数箇所例えば16カ所に磁場形成機構20を配置した例を示している。この例では、これら磁場形成機構20は、互いに等間隔で離間するように配置されており、従ってある磁場形成機構20から見た時、処理容器1を介して別の磁場形成機構20が対向している。このような構成において処理容器1内の磁場を切り替える時には、図25に示すように、これら磁場形成機構20のうち一の磁場形成機構20に通電して、当該磁場形成機構20における処理容器1側の磁極を例えばS極にする。また、前記一の磁場形成機構20に対向する別の磁場形成機構20について、同様に通電すると共に、処理容器1側の磁極を例えばN極にする。こうして前記一の磁場形成機構20と他の磁場形成機構20との間において、前記別の磁場形成機構20から前記一の磁場形成機構20に向かう磁力線が形成される。尚、図25では、磁力線について模式的に簡略化して描画している。
次いで、前記一の磁場形成機構20及び前記別の磁場形成機構20への通電を停止すると共に、図26に示すように、前記一の磁場形成機構20に対して反時計回り(左回り)に隣接する磁場形成機構20について、処理容器1側の磁極が例えばS極となるように通電する。また、前記隣接する磁場形成機構20に対向する磁場形成機構20についても、処理容器1側の磁極が例えばN極となるように通電する。従って、処理容器1の内部を介して対向する磁場形成機構20、20同士の間で磁力線が形成されるが、この磁力線は、図25と比べて、僅かに(例えば反時計回りに30°だけ)鉛直軸周りに回転していると言える。
こうして通電する磁場形成機構20、20を周方向に沿って順次切り替えていくと、水平方向に沿って形成される磁力線について、処理容器1の中心を鉛直方向に伸びる軸の周りにいわば回転するので、既述の例と同様の効果が得られる。
また、図27〜図32は、磁場形成機構20の他の配置レイアウト及び各々の磁場形成機構20の配置レイアウトに基づいて磁力線をシミュレーションした結果について示している。具体的には、図27は、処理容器1の手前側及び奥側に各々2つの磁場形成機構20を互いに離間させて配置すると共に、処理容器1の右側及び左側には夫々3つの磁場形成機構20を互いに離間させて設けた例を示している。処理容器1の手前側及び奥側における磁場形成機構20のうち右側の磁場形成機構20は、各々N極が処理容器1側を向いている。また、処理容器1の手前側及び奥側における磁場形成機構20のうち左側の磁場形成機構20は、各々S極が処理容器1側を向いている。処理容器1の右側における3つの磁場形成機構20は、各々N極が処理容器1側を向いており、処理容器1の左側の3つの磁場形成機構20は、各々S極が処理容器1側を向いている。
図28は、処理容器1の手前側及び奥側には磁場形成機構20を配置せず、処理容器1の右側及び左側に各々2つの磁場形成機構20を配置した例を示している。処理容器1の右側の2つの磁場形成機構20は、各々N極が手前側を向き、且つS極が奥側を向くように配置されている。処理容器1の左側の2つの磁場形成機構20は、各々S極が手前側を向き、且つN極が奥側を向くように配置されている。
図29は、既述の図27における磁場形成機構20に加えて、処理容器1の右側及び左側に各々一つの磁場形成機構20を配置した例を示している。従って、処理容器1の右側では、4つの磁場形成機構20が配置されると共に、これら4つの磁場形成機構20は、N極が処理容器1側を向いている。処理容器1の左側では、同様に4つの磁場形成機構20が配置されると共に、これら4つの磁場形成機構20は、S極が処理容器1側を向いている。
図30は、図28の構成について、処理容器1の右側における奥側の磁場形成機構20と、処理容器1の左側における奥側の磁場形成機構20との各々の磁極を反転させた例を示している。図31は、図30の構成について、処理容器1の右側の2つの磁場形成機構20を各々時計周りに90°回転させると共に、処理容器1の左側の2つの磁場形成機構20については各々反時計周りに90°回転させた例を示している。図32は、図31の構成について、処理容器1の右側における奥側の磁場形成機構20と、処理容器1の左側における奥側の磁場形成機構20とを各々180°反転させた例を示している。これら図27〜図32のいずれの配置レイアウトについても、磁力線のループは閉じていないことが分かる。
以上の各例においては、複数の磁場形成機構20を用いて磁力線を形成したが、1つの磁場形成機構20だけを用いても良い。この場合であっても、図33及び図34から分かるように、当該1つの磁場形成機構20の磁極を切り替えることにより、処理容器1内における磁力線が反転するので、同様の効果が得られる。また、磁極を切り替えるにあたり、磁場形成機構20として電磁石を用いたが、電磁石に代えて、図35に示すように、永久磁石からなる磁石部材26を下方側から支持する回転軸27を配置して、磁石部材26を鉛直軸周りに回転させても良い。
以上のようにALD法によって成膜する薄膜については、既述の反応ガスの貯留部17として、窒素(N)を含む反応ガス例えばアンモニア(NH3)ガスの貯留源を設けることにより、酸化シリコン膜に代えて、窒化シリコン膜を成膜しても良い。即ち、窒化シリコン膜は、シリコンを含む原子層あるいは分子層からなる吸着層51を形成した後、図36に示すように、アンモニアガスをプラズマ化して得られるプラズマをウエハWに供給して形成される。このプラズマには、窒素ラジカルが含まれており、この窒素ラジカルが吸着層51と反応して既述の窒化シリコン膜が形成されるが、この窒素ラジカルは、例えば既述の酸素ラジカルと比べて寿命が短い。また、前記プラズマ中には、窒素を含むイオン(NHイオン)が含まれているが、イオンは電子よりも重いため水平方向には移動しにくい。
従って、ウエハWの上面や凹部50の底面など、ウエハWの水平面については、窒素ラジカルや窒素含有イオンが上方側からウエハW側に向かって活性を保ったまま下降するうちに到達するので、窒化シリコン膜が形成される。一方、凹部50の内壁面については、処理容器1内に磁場を形成していない場合には、窒化シリコン膜を形成するためには、一度窒素ラジカルが凹部50内に入り込んだ後、当該ラジカルが水平方向に拡散するまでの時間が必要になるので、当該内壁面に衝突する時、窒素ラジカルは失活している場合がある。このように失活した窒素ラジカルが衝突しても、窒化シリコン膜が形成されないので、凹部50の内壁面では、ウエハWの水平面よりも膜厚が薄くなりやすい。また、窒素ラジカルの衝突によって形成された窒化シリコン膜に対して、図37に示すように、電子の衝突によって緻密化が図られるが、既述の酸化シリコン膜と同様に、高周波電源12a、3aを設けただけだと凹部50の内壁面では当該緻密化が進行しにくい。
そこで、水平方向に向かう磁力線を処理容器1内に形成すると共に、成膜処理の途中でこの磁力線を既述のように切り替えると、図38に示すように、プラズマ中の窒素を含むイオン(NHイオン)が凹部50内において水平方向に移動する。そのため、凹部50の内壁面では、吸着層51の反応が速やかに起こり、また図39に示すように、プラズマ中の電子の衝突も起こるので、ウエハWの水平面と同レベルの膜厚及び膜質を持つ窒化シリコン膜が形成される。
更にまた、ALD法で成膜する薄膜としては、酸化シリコン膜や窒化シリコン膜以外にも、例えば原料ガス及び反応ガスとして夫々TEMAH(テトラキスエチルメチルアミノハフニウム)ガス、TDMAH(テトラキスジメチルアミノハフニウム)ガス、TEMAZ(テトラキスエチルメチルアミノジルコニウム)ガスなど及びO3ガス、O2ガス、H2Oガスを用いてHfO2膜やZrO2膜を成膜しても良い。また、原料ガス及び反応ガスのうちいずれか一方のガスのみについて、磁場を印加した状態でプラズマ処理を行っても良い。即ち、既述のように酸化シリコン膜を成膜する時には、原料ガスについては磁場を形成しておかなくても良好な吸着層51が形成されるため、原料ガス及び反応ガスのうち一方だけに対して磁場を印加しながらプラズマ処理を行っても良い。
また、原料ガスと反応ガスとを同時に処理容器1内に供給してCVD法を用いて成膜する場合にも、処理容器1内に磁場を形成することにより以上説明した例と同様の効果が得られる。即ち、CVD法の場合には、処理容器1内に原料ガス及び反応ガスを同時に供給すると、気相中においてこれらガスの反応によって反応生成物が生成して、ウエハWの露出面に吸着する。従って、凹部50の内部についても良好に薄膜を成膜するためには、当該凹部50内に活性種をできるだけ拡散させる必要がある。
しかしながら、凹部50内に活性種を引き込むにあたって、磁場形成機構20を用いない従来の手法では、ガス(活性種)が拡散しやすいように処理容器1内の圧力を下げる手法が採られる。そのため、前記従来の手法では、凹部50内に活性種を引き込んだとしても、成膜圧力が低いので成膜速度については低下してしまう。一方、成膜速度を稼ぐために処理容器1内における圧力を高めると、活性種が拡散しにくくなって凹部50内への被覆性が悪くなってしまう。即ち、凹部50が形成されたウエハWに対して、従来のCVD法を用いて被覆性高く薄膜を成膜しようとすると、成膜速度と被覆性とがトレードオフの関係になっており、被覆性高く速やかに薄膜を形成するのは困難であった。
これに対して以上説明した本発明の手法では、電子やイオンを水平方向に移動させているので、既述の従来の手法と比べて、活性種が凹部50内に入り込みやすく、そのため凹部50の内壁面付近における活性種の濃度を高くできる。従って、凹部50が形成されたウエハWに対して薄膜をCVD法によって成膜する場合であっても、被覆性高く且つ速やかに薄膜を形成できる。
以上説明した例において、載置部2にウエハWを載置するにあたり、当該載置部2に図示しない静電チャックを埋設して、ウエハWを載置部2に静電吸着しても良い。
[第2の実施の形態:セミバッチ装置]
続いて、本発明の第2の実施の形態として、複数枚例えば5枚のウエハWに対して共通の処理容器内にて同時に成膜を行うセミバッチタイプの装置について図40〜図44を参照して説明する。この装置は、平面形状が概ね円形である処理容器をなす真空容器101と、この真空容器101内に設けられ、当該真空容器101の中心に回転中心を有する載置部である回転テーブル102とを備えている。真空容器101の天板111の上面側における中央部には、真空容器101内の中心部領域Cにおいて互いに異なる処理ガス同士が混ざり合うことを抑制するために、窒素(N2)ガスを供給するための分離ガス供給管151が接続されている。図40中113は、シール部材例えばOリングであり、107は真空容器101の底面部114と回転テーブル102との間に設けられたヒータユニットである。また、図40中173は、ヒータユニット107の配置空間をパージするためのパージガス供給管である。
回転テーブル102は、中心部にて概略円筒形状のコア部121に固定されており、このコア部121の下面に接続されると共に鉛直方向に伸びる回転軸122によって、鉛直軸周りに回転自在に構成されている。図40中123は回転軸122を鉛直軸周りに回転させる駆動部であり、120は回転軸122及び駆動部123を収納するケース体である。ケース体120には、回転テーブル102の下方領域に窒素ガスをパージガスとして供給するためのパージガス供給管172が接続されている。
回転テーブル102の表面部には、図41〜図42に示すように、ウエハWを落とし込んで保持するために、円形の凹部124が基板載置領域として設けられており、この凹部124は、当該回転テーブル102の回転方向(周方向)に沿って複数箇所例えば5箇所に形成されている。凹部124の通過領域と各々対向する位置には、4本のノズル131、132、141、142が真空容器101の周方向に互いに間隔をおいて放射状に配置されている。これら各ノズル131、132、141、142は、例えば真空容器101の外周壁から中心部領域Cに向かってウエハWに対向して水平に伸びるように各々取り付けられている。この例では、後述の搬送口115から見て時計周り(回転テーブル102の回転方向)に第1の処理ガスノズル131、分離ガスノズル141、第2の処理ガスノズル132及び分離ガスノズル142がこの順番で配列されている。
各ノズル131、132、141、142は、流量調整バルブを介して夫々以下の各ガス供給源(図示せず)に夫々接続されている。即ち、第1の処理ガスノズル131は、原料ガス供給路をなしており、既述の原料ガスの貯留部に接続されている。また、第2の処理ガスノズル132は、反応ガス供給路をなしており、反応ガスの貯留部に接続されている。分離ガスノズル141、142は、分離ガスである窒素ガスのガス供給源に各々接続されている。これらガスノズル131、132、141、142の例えば下面側には、ガス吐出孔133が回転テーブル102の半径方向に沿って複数箇所に形成されている。
そして、第2の処理ガスノズル132に対して回転テーブル102の回転方向上流側には、当該第2の処理ガスノズル132から吐出される反応ガスをプラズマ化するためのプラズマ発生部をなす一対の対向電極135、135が配置されている。即ち、対向電極135、135は、第2の処理ガスノズル132に沿うように回転テーブル102の半径方向に各々伸び出しており、基端側が真空容器101の側面部を気密に貫通して、既述の整合器12bを介して高周波電源12aに接続されている。これら第2の処理ガスノズル132及び対向電極135、135は、図43に示すように、下面側が開口する概略箱型のカバー部材136によって長さ方向に亘って上面側及び両側面側が覆われている。尚、このカバー部材136については、図43以外では描画を省略している。また、対向電極135、135は、例えば石英などからなる絶縁材により表面が被覆されているが、ここでは省略している。
分離ガスノズル141、142が配置された領域における真空容器101の天板111には、図41、図42及び図44に示すように、概略扇形の凸状部104が設けられており、従って当該分離ガスノズル141、142は、この凸状部104内に収められている。こうして分離ガスノズル141、142における回転テーブル102の周方向両側には、各処理ガス同士の混合を阻止するために、前記凸状部104の下面である低い天井面が配置され、この天井面の前記周方向両側には、当該天井面よりも高い天井面が配置されている。尚、図44は、真空容器101を周方向に沿って切断して展開した縦断面図を示している。
そして、各々の凸状部104、104において、各分離ガスノズル141、142よりも第2の反応ガスノズル132寄りの部位は、図44に示すように、上側の領域が回転テーブル102の半径方向に亘って概略箱型に窪んでおり、当該窪んだ部分に既述の磁場形成機構20が各々配置されている。この磁場形成機構20は、図41及び図42に示すように、回転テーブル102の半径方向に沿って複数箇所例えば4カ所に設けられており、既述のようにスイッチ24を介して直流電源部23に各々接続されている。従って、第2の処理ガスノズル132から見ると、回転テーブル102の回転方向上流側及び下流側には、回転テーブル102の回転方向に沿って互いに対向するように磁場形成機構20が配置されており、これら磁場形成機構20は、当該第2の処理ガスノズル132側の磁極を各々切り替え自在に構成されている。尚、図42などではスイッチ24及び直流電源部23について図示を省略しており、図44ではこれらスイッチ24及び直流電源部23を簡略化している。
回転テーブル102と真空容器101の内壁面との間における底面部114には、2つの排気口161、162が形成されている。これら2つの排気口161、162のうち一方及び他方を夫々第1の排気口161及び第2の排気口162と呼ぶと、第1の排気口161は、第1の処理ガスノズル131と、当該第1の処理ガスノズル131よりも回転テーブル102の回転方向下流側における凸状部104との間に形成されている。第2の排気口162は、第2の処理ガスノズル132と、当該第2の処理ガスノズル132よりも回転テーブル102の回転方向下流側における凸状部104との間に形成されている。これら第1の排気口161及び第2の排気口162は、図40に示すように、各々バタフライバルブなどの圧力調整部165の介設された排気管163により、真空排気機構である真空ポンプ164に接続されている。図42中115はウエハWの搬送口であり、外部の搬送アーム171との間においてウエハWの搬入出が行われる。この搬送口115を臨む位置における回転テーブル102の下方側には、回転テーブル102の貫通口を介してウエハWを裏面側から持ち上げるための昇降ピン(いずれも図示せず)が設けられている。
続いて、このセミバッチタイプの装置における作用について説明する。始めに、回転テーブル102を間欠的に回転させながら、搬送アーム171により搬送口115を介して回転テーブル102上に例えば5枚のウエハWを載置する。次いで、真空容器101を気密に閉じると共に、当該真空容器101内を成膜圧力に設定する。そして、回転テーブル102を例えば2rpm〜240rpmで時計周りに回転させると共に、ヒータユニット107によりウエハWを加熱する。
続いて、処理ガスノズル131、132から夫々原料ガス及び反応ガスを吐出すると共に、対向電極135、135間に高周波電力を供給して、反応ガスをプラズマ化する。また、平面で見た時に第2の処理ガスノズル132の周囲を囲む磁力線のループが形成されないように、各々の磁場形成機構20に対して通電する。更に、分離ガスノズル141、142から分離ガスを所定の流量で吐出し、分離ガス供給管151及びパージガス供給管172からも窒素ガスを所定の流量で吐出する。
回転テーブル102上のウエハWが第1の処理ガスノズル131の下方側に到達すると、既述のようにウエハWの露出面には吸着層51が形成される。また、この吸着層51が形成されたウエハWが第2の処理ガスノズル132の下方側に到達すると、酸素ラジカルによって反応層52が形成されると共に、磁界によって電子が水平方向に移動しているので、当該反応層52の緻密化が進行する。
こうして既述の例と同様に真空容器101内における磁場を切り替えながら回転テーブル102を多数回に亘って回転させることにより、各々のウエハWにおいて、反応層52が多層に亘って積層されて薄膜が形成される。
以下に、このようなセミバッチタイプの装置の他の例について列挙する。図45は、第2の処理ガスノズル132から見て回転テーブル102の回転方向上流側及び下流側に各々磁場形成機構20を配置することに代えて、中心部領域Cと、真空容器101の外側とに磁場形成機構20を配置した例を示している。真空容器101の外側では、当該外側の磁場形成機構20を避けるように、第2の処理ガスノズル132や対向電極135、135が屈曲して設けられる。
一方、中心部領域Cにおける磁場形成機構20については、図46に示すように、真空容器101の天板111の上面側中央部が概略円筒形状に窪んでおり、この窪んだ部分に収納されている。即ち、天板111の下面側中央部は、下方側に向かって突出しており、この突出した部分を避けるように、回転テーブル102の中心部側に設けられた既述のコア部121の上端部が取り除かれている。分離ガス供給管151は、磁場形成機構20を避けるように引き回されている。このような構成においても、同様の効果が得られる。
また、図47は、真空容器101の外側に互いに隣接して3つの磁場形成機構20を配置すると共に、各々の磁場形成機構20に対向するように、回転テーブル102の中心部領域Cについても3つの磁場形成機構20を配置した例を示している。更に、図48は、図47の磁場形成機構20に加えて、真空容器101の外側に、回転テーブル102の外周端よりも中心部領域C側の部位を介して互いに対向するように2つの磁場形成機構20を配置した例を示している。更にまた、図49は、回転テーブル102の中心部領域Cを介して対向するように、即ち回転テーブル102における回転中心を通る水平ラインの一方側及び他方側に各々磁場形成機構20を配置した例を示している。尚、これら図47〜図49では各ノズルについては記載を省略しており、また真空容器101などの部材についても簡略化している。
以上説明したセミバッチタイプの装置では、真空容器101内の磁力線を切り替えるにあたって、既述のように電磁石を用いても良いし、回転軸27などを介して磁石部材26を鉛直軸周りに回転させても良い。また、磁力線を切り替えるにあたって、これら電磁石や回転軸27を用いることに代えて、回転テーブル102を回転させる回転軸122を用いても良い。即ち、回転テーブル102上において公転しているあるウエハWから見ると、磁場形成機構20の磁力線を切り替えなくても、回転テーブル102上におけるある位置と、当該ある位置から回転テーブル102の回転方向下流側に公転した位置とでは、ウエハWの表面を通る磁力線の向きが異なる。従って、磁場形成機構20の磁場を切り替えるための磁場切り替え機構として、スイッチ24に代えて、あるいはスイッチ24と共に、ウエハWの姿勢を変化させる部材(回転軸122)を用いても良い。
また、以上説明したセミバッチタイプの各例では、吸着層51の形成と反応層52の成膜とからなる成膜サイクルを多数回に亘って繰り返したが、各々の成膜サイクルにおいて、反応層52を改質するステップを行っても良い。即ち、酸化シリコン膜を成膜するプロセスにて既に説明したように、反応層52が電子によって緻密化されるので、反応層52を形成するステップと、反応層52の緻密化を行うステップとを個別に行うようにしても良い。言い換えると、既述の各例では、これら反応層52を形成するステップ及び当該反応層52の緻密化を行うステップとが同時に行われていると言える。
具体的な装置としては、図50に示すように、搬送口115から見て時計周りに、プラズマ発生用ガス例えばアルゴン(Ar)ガスを供給するための補助ガスノズル134、分離ガスノズル141、第1の反応ガスノズル131、分離ガスノズル142及び第2の処理ガスノズル132がこの順番で設けられている。そして、対向電極135、135は、補助ガスノズル134に対して回転テーブル102の回転方向上流側に隣接して設けられている。磁場形成機構20については、例えば中心部領域Cと、対向電極135、135の外周側における真空容器101の外側とに配置されている。
このような装置では、第1の反応ガスノズル131の下方にて吸着層51が形成された後、第2の処理ガスノズル132の下方位置にて、当該第2の処理ガスノズル132から吐出する例えばオゾンガスによって反応層52が形成される。第2の処理ガスノズル132の下方側では、凹部50の内壁面には電子が供給されていないので、あるいは更にウエハWの水平面についても電子が供給されていないので、反応層52の緻密化が進行していない。続いて、補助ガスノズル134の下方位置にウエハWが到達すると、プラズマ発生用ガスのプラズマに含まれる電子が水平方向に移動して、凹部50の内壁面を含むウエハWの露出面に亘って反応層52の緻密化が進行する。
[第3の実施の形態:バッチ炉]
続いて、本発明の第3の実施の形態について、多数枚例えば150枚のウエハWに対して一括して成膜処理を行うバッチ式の装置について図51及び図52を参照して説明する。この装置は、ウエハWを棚状に積載するための載置部をなすウエハボート201と、このウエハボート201を内部に気密に収納して成膜処理を行うための縦型の処理容器である反応管202とを備えている。反応管202の外側には加熱炉本体204が設けられており、この加熱炉本体204の内壁面には周方向に亘って加熱部であるヒータ203が配置されている。
反応管202は、側面部が上下方向に亘って外側に向かって膨らむように形成されると共に、この膨らんだ部分には、図52に示すように、各々上下方向に伸びる反応ガスインジェクタ205及び一対の電極206、206が収納されるように構成されている。また、反応管202内には、これら反応ガスインジェクタ205及び電極206、206に対してウエハボート201を介して対向するように、原料ガスを供給するための原料ガスインジェクタ207が上下方向に伸びるように配置されている。反応管202の上端部は、排気口208をなしており、圧力調整部209を介して接続された排気機構をなす真空ポンプ210によって反応管202の内部を真空排気できるように構成されている。図51中211は酸素ガス貯留部、212は原料ガス貯留部であり、また213はアルゴンガスの貯留部である。
ウエハボート201の下方側には、回転軸214を介してモータなどの回転機構215が接続されており、ウエハボート201を鉛直軸周りに回転できるように構成されている。そして、反応管202の外側には、図51に示すように、既述の磁場形成機構20が互いに対向するように配置されている。磁場形成機構20は、ウエハボート201の長さ方向に沿うように複数箇所に配置されており、各々のウエハWの表面において水平方向に向かう磁力線を形成できるように構成されている。
このような装置では、ウエハボート201に多数枚のウエハWを積載して、次いで当該ウエハボート201を反応管202内に気密に収納する。続いて、反応管202内を成膜圧力に保ちながら、鉛直軸周りに回転しているウエハボート201に対して原料ガスを供給して、各々のウエハWの表面に吸着層51を形成する。次に、反応管202内の雰囲気を置換した後、反応管202内に磁力線を形成すると共に当該磁力線を切り替えながら、酸素ガスのプラズマを各々のウエハWの表面に供給すると、既述の各例と同様に反応層52が形成される。即ち、各々のウエハWに対して側方側からプラズマを供給しているが、当該ウエハWの表面には既述のようにアスペクトレシオの大きな凹部50が形成されている。従って、反応管202内に磁力線を形成しない場合には、凹部50内では、プラズマ(電子やイオン)は当該凹部50の内壁面に衝突しにくい。一方、反応管202内に磁力線を形成することにより、凹部50内では、当該凹部50の深さ方向に亘って電子やイオンが水平方向に移動するので、凹部50の内壁面では、ウエハWの水平面と同様の膜質及び膜厚の薄膜が形成される。
この例においても、各ウエハWが鉛直軸周りに回転しているので、磁場形成機構20における磁力線を切り替えずに、磁場切り替え機構として回転機構215を用いても良い。尚、原料ガスについても電極206、206を別に設けてプラズマ化しても良い。
以上の各例において、処理容器1(真空容器101、反応管202)の内部に形成する磁場の強度としては、0.1mT/cm〜1T/cmである。ここで、以上の各例では、プラズマ処理の途中において、処理容器1(真空容器101、反応管202)の内部における磁力線を切り替えたが、0.25mT/cm〜0.75mT/cm程度の弱磁場であれば、電子やイオンが蛇行する。従って、前記弱磁場を処理容器1内に形成する場合には、磁力線を切り替えなくても、既述の各例と同様の効果が得られる。
以下に、弱磁場の場合において、処理容器1内の磁場を切り替えずに酸化シリコン膜(ULT−SiO2膜:Ultra Low Temp.−SiO2)の成膜処理を行った場合の実験結果について説明する。この実験には、磁場を発生させずに成膜処理を行った場合と、弱磁場を形成しながら成膜処理を行った場合とについて、フッ酸に対するウエットエッチングレートを夫々測定した。具体的な成膜条件としては、以下のように設定した。成膜装置については、既述の図1に記載の枚葉装置を用いると共に、この装置にてALD法にて成膜処理を行った。
(成膜条件)
成膜温度:R.T.(室温)
処理圧力:66.66Pa(0.5Torr)
原料ガス:BDEAS(ビスジエチルアミノシラン)ガス
反応ガス:O2=1000sccm
その他ガス:Ar=500sccm
磁場強度:0.26mT/cm(ただし、ウエハの中心位置における強度)
ALDの処理サイクル数:120サイクル
プラズマ発生用の高周波電力:1000W
プラズマ発生用の高周波周波数:13.56MHz
その結果、図53に示すように、磁場を発生させずに成膜処理を行った場合には、トレンチ(溝)の内部では、ウエハWの表面(平坦面)と比べて、フッ酸に溶解しやすくなっており、従ってそれ程膜密度が高くなっていないことが分かる。一方、弱磁場を形成しながら成膜処理を行った場合には、ウエハWの表面及びトレンチの内部のいずれにおいても、フッ酸に対する溶解度が同レベルになっており、トレンチの内部についても良好な膜密度になっていることが分かる。従って、この実験結果から、弱磁場では処理容器1内の磁力線を切り替えずに成膜処理を行っても、本発明の効果が得られることが分かる。
W ウエハ
1 処理容器
2 載置部
3a 高周波電源
12 ガスシャワーヘッド
11a 原料ガス供給路
11b 反応ガス供給路
12a 高周波電源
20 磁場形成機構
31 排気口
50 凹部
51 吸着層
52 反応層

Claims (5)

  1. 基板の載置部をなす下部電極と前記載置部に対向する上部電極とを備えたプラズマ処理装置を用い、凹部が形成された半導体デバイス製造用の基板にプラズマを用いて成膜する方法において、
    処理容器内に設けられた載置部に、基板を載置する工程と、
    前記処理容器内を真空排気する工程と、
    次いで、前記処理容器内に処理ガスを供給する工程と、
    続いて、処理ガスを高周波電力によりプラズマ化してプラズマを得る工程と、
    平面で見た時に基板の周縁を囲む磁力線のループが形成されないように、当該基板の周縁における少なくとも一箇所の磁力線が開口する磁場を処理雰囲気に形成して、処理ガスのプラズマ中における電子を基板の表面に沿う方向に移動させる工程と、を含み、
    前記プラズマを得る工程は、電気的なカップリングの状態を、高周波電源を前記上部電極に接続したアノードカップリングの状態と、前記高周波電源を前記下部電極に接続したカソードカップリングの状態と、の間で交互に切り替える工程を含むことを特徴とするプラズマ処理方法。
  2. 前記アノードカップリングの状態と前記カソードカップリングの状態との間で切り替えるときには、上部電極及び下部電極の短絡を防止するために、一旦高周波の出力を停止することを特徴とする請求項1記載のプラズマ処理方法。
  3. シリコンを含む処理ガスである原料ガスを処理容器内に供給して前記基板に吸着させる工程と、処理ガスである反応ガスをプラズマ化して、前記基板に吸着されている原料ガスと反応させる工程と、が交互に繰り返されることを特徴とする請求項1または2記載のプラズマ処理方法。
  4. 前記移動させる工程の後、処理雰囲気における磁力線を切り替えることにより、前記電子の移動方向を、前記方向とは異なる方向で且つ基板の表面に沿う方向に切り替える工程を行うことを特徴とする請求項1ないし3のいずれか一項に記載のプラズマ処理方法。
  5. 前記移動させる工程は、前記磁場を形成した時のプラズマ密度及び当該磁場を形成しない時におけるプラズマ密度を夫々P1及びP2とすると、P1とP2とがほぼ等しくなるように磁場を形成する工程であることを特徴とする請求項1ないし4のいずれか一項に記載のプラズマ処理方法。
JP2013125684A 2012-09-21 2013-06-14 プラズマ処理方法 Active JP6136613B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2013125684A JP6136613B2 (ja) 2012-09-21 2013-06-14 プラズマ処理方法
KR1020130111976A KR101813738B1 (ko) 2012-09-21 2013-09-17 플라즈마 처리 방법
TW102133852A TWI577246B (zh) 2012-09-21 2013-09-18 電漿處理裝置及電漿處理方法
US14/031,411 US9165780B2 (en) 2012-09-21 2013-09-19 Plasma processing apparatus and plasma processing method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012208434 2012-09-21
JP2012208434 2012-09-21
JP2013125684A JP6136613B2 (ja) 2012-09-21 2013-06-14 プラズマ処理方法

Publications (2)

Publication Number Publication Date
JP2014078685A JP2014078685A (ja) 2014-05-01
JP6136613B2 true JP6136613B2 (ja) 2017-05-31

Family

ID=50339252

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013125684A Active JP6136613B2 (ja) 2012-09-21 2013-06-14 プラズマ処理方法

Country Status (4)

Country Link
US (1) US9165780B2 (ja)
JP (1) JP6136613B2 (ja)
KR (1) KR101813738B1 (ja)
TW (1) TWI577246B (ja)

Families Citing this family (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6366454B2 (ja) * 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6548586B2 (ja) * 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN108293292B (zh) * 2016-03-30 2020-08-18 东京毅力科创株式会社 等离子电极以及等离子处理装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102228931B1 (ko) * 2017-11-03 2021-03-18 주식회사 원익아이피에스 기판처리장치 및 이를 이용한 기판처리방법
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200023196A (ko) * 2018-08-23 2020-03-04 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP2021057191A (ja) * 2019-09-30 2021-04-08 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
JP2023159475A (ja) * 2020-09-10 2023-11-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023055111A (ja) * 2021-10-05 2023-04-17 東京エレクトロン株式会社 チタン膜を形成する方法、及びチタン膜を形成する装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2924303B2 (ja) * 1991-05-21 1999-07-26 日本電気株式会社 プラズマ気相成長装置
JP3124204B2 (ja) 1994-02-28 2001-01-15 株式会社東芝 プラズマ処理装置
JPH0845699A (ja) * 1994-05-24 1996-02-16 Sony Corp プラズマ制御方法およびプラズマ処理装置
JPH0822980A (ja) * 1994-07-06 1996-01-23 Nissin Electric Co Ltd プラズマ処理装置
JPH1116893A (ja) * 1997-06-25 1999-01-22 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JP2001118793A (ja) 1999-10-15 2001-04-27 Mitsubishi Heavy Ind Ltd 薄膜作製方法及び装置
JP2003155569A (ja) * 2001-11-16 2003-05-30 Nec Kagoshima Ltd プラズマcvd装置及びそのクリーニング方法
US7422654B2 (en) * 2003-02-14 2008-09-09 Applied Materials, Inc. Method and apparatus for shaping a magnetic field in a magnetic field-enhanced plasma reactor
JP4188773B2 (ja) * 2003-08-07 2008-11-26 株式会社日立ハイテクノロジーズ 真空処理装置および真空処理装置のクリーニング方法
JP2005166400A (ja) * 2003-12-02 2005-06-23 Samco Inc 表面保護膜
GB0517334D0 (en) * 2005-08-24 2005-10-05 Dow Corning Method and apparatus for creating a plasma
JP5045057B2 (ja) 2006-03-13 2012-10-10 東京エレクトロン株式会社 プラズマ処理方法
JP2008186939A (ja) * 2007-01-29 2008-08-14 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びに記憶媒体
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
JP2011038123A (ja) * 2009-08-06 2011-02-24 Mitsubishi Heavy Ind Ltd 基板処理装置
JP5551635B2 (ja) * 2011-02-28 2014-07-16 三井造船株式会社 薄膜形成装置

Also Published As

Publication number Publication date
TWI577246B (zh) 2017-04-01
US9165780B2 (en) 2015-10-20
US20140087564A1 (en) 2014-03-27
JP2014078685A (ja) 2014-05-01
KR20140038902A (ko) 2014-03-31
KR101813738B1 (ko) 2017-12-29
TW201424464A (zh) 2014-06-16

Similar Documents

Publication Publication Date Title
JP6136613B2 (ja) プラズマ処理方法
KR101885411B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP5971144B2 (ja) 基板処理装置及び成膜方法
TWI433252B (zh) 活化氣體噴射器、成膜裝置及成膜方法
KR101228728B1 (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
JP5870568B2 (ja) 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
JP2014135464A (ja) 成膜装置、基板処理装置及び成膜方法
US20120251737A1 (en) Plasma-nitriding method
US20140011372A1 (en) Film deposition method
KR102245563B1 (ko) 성막 장치, 성막 방법 및 기억 매체
JP2013165116A (ja) 成膜装置
KR20170077047A (ko) 보호막 형성 방법
JP2017107963A (ja) プラズマ処理装置及び成膜方法
US10170300B1 (en) Protective film forming method
JP5549754B2 (ja) 成膜装置
JP2016058548A (ja) プラズマ処理装置及びプラズマ処理方法
US10458016B2 (en) Method for forming a protective film
JP7278146B2 (ja) 成膜方法
KR102460932B1 (ko) 기판 처리 장치
WO2023047960A1 (ja) プラズマ処理を行う装置、及びプラズマ処理を行う方法
KR102092444B1 (ko) 성막 방법
US20170346001A1 (en) Method of manufacturing magnetoresistive device and magnetoresistive device manufacturing system
TWI780369B (zh) 操作空間沉積工具的方法
JP7118025B2 (ja) 成膜方法
JP2022109090A (ja) 成膜方法及び成膜システム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151214

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160908

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161128

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170404

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170417

R150 Certificate of patent or registration of utility model

Ref document number: 6136613

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250