KR20200023196A - 기판 처리 장치 및 방법 - Google Patents

기판 처리 장치 및 방법 Download PDF

Info

Publication number
KR20200023196A
KR20200023196A KR1020190098312A KR20190098312A KR20200023196A KR 20200023196 A KR20200023196 A KR 20200023196A KR 1020190098312 A KR1020190098312 A KR 1020190098312A KR 20190098312 A KR20190098312 A KR 20190098312A KR 20200023196 A KR20200023196 A KR 20200023196A
Authority
KR
South Korea
Prior art keywords
substrate
precursor
reaction chamber
processing station
processing apparatus
Prior art date
Application number
KR1020190098312A
Other languages
English (en)
Inventor
루스트 다피트 쿠르트 데
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20200023196A publication Critical patent/KR20200023196A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/002Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor using materials containing microcapsules; Preparing or processing such materials, e.g. by pressure; Devices or apparatus specially designed therefor
    • G03F7/0022Devices or apparatus
    • G03F7/0025Devices or apparatus characterised by means for coating the developer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2012Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image using liquid photohardening compositions, e.g. for the production of reliefs such as flexographic plates or stamps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/67086Apparatus for fluid treatment for etching for wet etching with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • B05C11/10Storage, supply or control of liquid or other fluent material; Recovery of excess liquid or other fluent material
    • B05C11/1002Means for controlling supply, i.e. flow or pressure, of liquid or other fluent material to the applying apparatus, e.g. valves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/08Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation
    • B05C9/12Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation the auxiliary operation being performed after the application
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber

Abstract

기판 상에 레지스트를 코팅하기 위한 레지스트 코팅 장치, 및/또는 기판 상의 레지스트를 현상하기 위한 현상 처리 장치를 포함하는 습식 처리 스테이션을 포함하는 기판 처리 장치가 개시된다. 장치는, 추가 처리 스테이션, 및 기판을 습식 및/또는 추가 처리 스테이션으로 이동시키고 기판 처리 장치의 안 및/또는 바깥 방향으로 기판을 이동시키기 위한 기판 핸들러를 포함할 수 있다. 추가 처리 스테이션은 침윤 장치를 포함한다.

Description

기판 처리 장치 및 방법{SUBSTRATE PROCESSING APPARATUS AND METHOD}
본 개시는 일반적으로 기판 처리 장치 및 이를 사용하는 방법에 관한 것이다. 장치는,
기판 상에 레지스트를 코팅하기 위한 레지스트 코팅 장치, 및/또는 기판 상의 레지스트를 현상하기 위한 현상 처리 장치를 포함하는 습식 처리 스테이션;
추가 처리 스테이션; 및,
기판을 습식 처리 스테이션 및/또는 추가 처리 스테이션으로 이동시키고 기판 처리 장치의 안 및/또는 바깥 방향으로 기판을 이동시키기 위한 기판 핸들러를 포함한다.
기판 처리 장치는 코팅기/현상기 장치 또는 트랙으로 지칭될 수 있다. 기판 상의 레지스트층에서의 패턴 형성 이전 및 이후에 기판 상에서 상이한 공정 단계를 수행하기 위해 기판 처리 장치를 사용할 수 있다. 예를 들어, 오염이 기판 상에 존재하면, 화학 처리에 의해 그것을 제거할 수 있다. 기판은 기판 상에 존재할 수 있는 임의의 수분을 방출하기에 충분한 온도로 가열될 수 있다. 기판 처리 장치 내에서 기판 상의 레지스트의 접착을 촉진하기 위해 접착 촉진제를 도포할 수 있다.
기판 처리 장치의 습식 처리 스테이션에서, 스핀 코팅에 의해 기판을 레지스트로 덮을 수 있다. 점성 있는 레지스트 액체 용액을 기판 상으로 디스펜싱할 수 있고, 기판을 스피닝하여 얇고 균일한 층을 생성할 수 있다. 그 다음, 레지스트 코팅된 웨이퍼를 베이킹하여 레지스트 용매를 증발시킬 수 있다.
레지스트가 광(민감성)레지스트인 경우, 기판을 기판 처리 장치로부터 리소그래피 노광 장치로 이송시킬 수 있다. 리소그래피 노광 장치에서, 포토레지스트를 갖는 기판은 (극)자외선의 패터닝된 빔에 노광될 수 있다. 선에 대한 노광은 레지스트를 패터닝하는 포토레지스트의 화학적 변화를 유발한다.
패터닝된 레지스트를 갖는 기판은 기판 처리 장치의 습식 처리 스테이션으로 다시 이송될 수 있고, 그 안에서 레지스트의 일부가 특수 현상기 용액에 의해 제거될 수 있다. 포지티브 포토레지스트는 노광 이후 현상기에서 가용성인 반면에, 네가티브 포토레지스트인 경우 미노광 영역이 노광 이후 현상기에서 가용성이 된다. 현상기는 레지스트와 같이 습식 처리 스테이션에서 스피너 상에 전달될 수 있다. 노광후 베이크는 현상하기 이전에 사용할 수 있고/거나 현상한 이후에 베이크가 사용될 수 있다.
반도체 소자의 크기가 점점 더 작아지는 추세로 나아감에 따라, 상이한 패터닝 기술이 생겨났다. 이러한 기술에는 자기-정렬 다중 패터닝, 스페이서 한정 쿼드러플 패터닝, 심자외선 리소그래피(DUV), 극자외선 리소그래피(EUV), 및 스페이서 한정 더블 패터닝과 결합된 DUV/EUV를 포함한다.
상술한 패터닝 기술은, 기판의 고해상도 패터닝이 가능하도록 기판 상에 배치된 레지스트를 이용할 수 있다. 고해상도와 낮은 라인-에지 조도 모두의 요건을 만족시키기 위해, 레지스트는 일반적으로 얇은 층일 수 있다. 그러나, 이러한 얇은 레지스트는 몇 가지 단점을 가질 수 있다. 예를 들어, 고해상도 레지스트는 높은 결함, 높은 거칠기 및 높은 에칭율 중 하나 이상을 겪을 수 있다. 높은 에칭율은 레지스트의 낮은 에칭 저항성에 의해 초래될 수 있고, 패터닝된 레지스트를 하부층으로 전사하는 것을 더욱 어렵게 한다. 첨단 고해상도 레지스트가 크기 축소될 필요가 추가로 있을 때, 결함, 거칠기 및 에칭 저항성은 심지어 악화될 수 있다.
따라서 개선된 특성을 갖는 레지스트 또는 하드 마스크와 같은 침윤성 재료를 제공하기 위한 개선된 기판 처리 장치가 바람직할 수 있다.
본 발명의 내용은 선정된 개념을 단순화된 형태로 소개하기 위해 제공된다. 이들 개념은 하기의 본 발명의 예시적 구현예의 상세한 설명에 더 상세하게 기재되어 있다. 이러한 요약은 청구된 요지의 주된 특징 또는 필수적인 특징을 구분하려는 의도가 아니며 청구된 요지의 범주를 제한하기 위해 사용하려는 의도 또한 아니다.
일부 구현예에서, 기판 처리 장치가 개시된다. 처리 장치는, 기판 상에 레지스트를 코팅하기 위한 레지스트 코팅 장치, 및/또는 기판 상의 레지스트를 현상하기 위한 현상 처리 장치를 포함하는 습식 처리 스테이션을 포함할 수 있다. 처리 장치는, 추가 처리 스테이션, 및 기판을 습식 처리 스테이션 및/또는 추가 처리 스테이션으로 이동시키고 기판 처리 장치의 안 및/또는 바깥 방향으로 기판을 이동시키기 위한 기판 핸들러를 포함한다. 추가 처리 스테이션은, 침윤성 재료를 갖는 적어도 하나의 기판을 유지하기 위한 기판 홀더가 구비된 반응 챔버; 제1 가스 전구체를 반응 챔버에 제공하고 반응 챔버로부터 제거하는, 하나 이상의 반응 챔버 밸브를 포함하는 전구체 분배 및 제거 시스템; 및 전구체 분배 및 제거 시스템에 작동 가능하게 연결되고, 습윤 사이클에 의해 작동하는 경우에 기판 상의 침윤성 재료의 침윤을 실행하기 위한 프로그램을 구비한 메모리를 포함하는 순차 제어기를 포함하는 침윤 장치를 포함할 수 있다. 침윤 사이클은, 반응 챔버 내에서 제1 기간 동안에 제1 전구체를 제공하기 위해 전구체 분배 및 제거 시스템을 활성화시키는 단계를 포함할 수 있다. 침윤성 재료는, 침윤성 재료를 제1 전구체와 반응시킨 반응 생성물로 침윤될 수 있다.
선행 기술에 비해 달성되는 장점들 및 본 발명을 요약하기 위해, 본 발명의 특정 목적 및 장점들이 앞서 본원에 기술되었다. 물론, 모든 목적 및 장점들이 본 발명의 임의의 특별한 구현예에 따라 반드시 달성되는 것이 아니라는 것을 이해하여야 한다. 따라서, 예들 들어 당업자는, 본 발명이, 본원에 교시 또는 제안될 수 있는 다른 목적들 또는 장점들을 반드시 달성하지 않고서, 본원에 교시되거나 제시된 바와 같은 하나의 장점 또는 여러 장점들을 달성 또는 최적화 하는 방식으로 구현되거나 수행될 수 있다는 것을 인식할 것이다.
이들 구현예 모두 본원에 개시된 본 발명의 범주 내에 있도록 의도된다. 이들 및 다른 구현예들은 첨부된 도면들을 참조하는 특정 구현예들의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명하게 될 것이고, 본 발명은 개시된 임의의 특정 구현예(들)에 한정되지 않는다.
본 명세서는 본 발명의 구현예로 간주되는 것을 특별히 지적하고 명백하게 주장하는 청구범위로 결론을 내지만, 본 개시의 구현예들의 장점들은 첨부한 도면들과 관련하여 읽을 때 본 개시의 구현예들의 특정 예의 설명으로부터 더욱 쉽게 확인될 수 있고, 도면들 중:
도 1은 본 개시의 구현예에 따른 기판 처리 장치를 도시한다.
도 2는 도 1의 기판 처리 장치를 위한 비제한적이고 예시적이며 추가적인 처리 스테이션을 도시한다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형 및 균등물을 넘어 연장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되는 구체적인 개시된 구현예에 의해 제한되지 않도록 의도된다. 본원에 제시된 예시는 임의의 특정한 물질, 구조, 또는 소자의 실제 뷰를 의도하려 하는 것은 아니며, 단지 본 발명의 구현예를 설명하기 위해 사용되는 이상화된 표현이다.
본원에서 사용되는 바와 같이, 용어 "기판(substrate)"은, 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 또한, 용어 "침윤성 재료(infiltrateable material)"은 원자, 분자 또는 이온과 같은 추가 종이 도입될 수 있는 임의의 재료를 지칭할 수 있다. 용어 "반도체 소자 구조(semiconductor device structure)"는 처리된 또는 부분 처리된 반도체 구조의 임의의 부분, 즉 반도체 기판 상에 또는 반도체 기판 내에 형성될 반도체 소자의 능동 또는 수동 부품의 적어도 일부를 포함하거나 정의하는 부분을 지칭할 수 있다. 예를 들어, 반도체 소자 구조는, 예를 들어 트랜지스터, 메모리 요소, 트랜스듀서, 커패시터, 저항기, 전도성 라인, 전도성 비아, 및 전도성 접촉 패드와 같은 집적 회로의 능동 및 수동 부품을 포함할 수 있다.
다수의 예시적인 물질들은 본 개시의 구현예를 통해 주어지고, 예시적인 물질들 각각에 주어진 화학식들을 제한적인 것으로 이해해서는 안되고, 주어진 비제한적 예시적인 물질들이 주어진 예시적 화학량론에 의해 한정되어서는 아니되는 점을 주목해야 한다.
본 개시는, 반도체 소자 제조 공정에서 에칭 마스크로서 사용되는, 예를 들어 레지스트 및 하드 마스크 재료와 같은 침윤성 재료의 특성을 개선하는 데 이용될 수 있는 기판 처리 장치 및 처리 방법을 포함한다.
예를 들어 순차 침윤 합성(SIS)과 같은 침윤 공정은 무기 보호 구성 요소로 물질을 변형시킴으로써 다양한 유기 재료의 에칭 저항성을 증가시키는 것으로 입증되었다. 예를 들어, SIS 공정은 유기 레지스트 물질에 침윤하여 레지스트 층 내에 보호 구성 요소를 형성하는 기상 전구체에 고분자 레지스트를 교대로 노출시키는 것을 활용한다. SIS 공정 및 이의 사용은 미국 공개 번호 제2012/0241411호 및/또는 미국 공개 번호 제2018/0171475호에 기재되어 있고, 본원에 참조로 포함된다. 따라서, 기판 처리 장치 내에서 침윤 공정을 고해상도 고분자 및 하드마스크 패터닝과 조합하는 것은, 미국 공보 제2014/0273514호 및/또는 미국 특허 제9,916,980 B1호에 설명된 것과 같이 종래의 접근법으로는 이전에 보이지 않는 이점을 제공할 수 있으며, 본원에 참조로 포함된다.
침윤 공정은 침윤성 재료를 위에 구비한 적어도 하나의 기판을 보유하도록 구성되고 배열되는 반응 챔버를 포함할 수 있는, 침윤용 전용 툴로 달성될 수 있다. 이러한 반응 챔버는 원자층 증착(ALD) 공정을 위해 구성된 반응 챔버뿐만 아니라 화학 기상 증착(CVD) 공정을 위해 구성된 반응 챔버를 포함할 수 있다. 샤워헤드 반응 챔버가 사용될 수 있다. 교차 흐름, 배치, 미니배치, 또는 공간적 ALD 반응 챔버가 사용될 수 있다. 수직 배치식 반응 챔버와 같은 배치 반응 챔버가 사용될 수 있다. 다른 구현예에서, 배치식 반응 챔버는 10개 이하의 웨이퍼, 8개 이하의 웨이퍼, 6개 이하의 웨이퍼, 4개 이하의 웨이퍼 또는 2개의 웨이퍼를 수용하도록 구성된 미니 배치식 반응기를 포함한다. 침윤 공정을 단독으로 수행하도록 구성되고 배열될 수 있는 반응 챔버를 포함하는 독립형 침윤 툴이 사용될 수 있다. 레지스트는 매우 민감할 수 있으므로, 레지스트가 패터닝된 직후에 침윤이 매우 신속하게 적용될 수 있다.
따라서, 본 개시의 일부 구현예에서, 기판 처리 장치에는 침윤 능력이 제공될 수 있다. 일부 구현예에서, 기판 처리 장치는, 기판 상에 레지스트를 코팅하기 위한 레지스트 코팅 장치 및/또는 기판 상의 레지스트를 현상하기 위한 현상 처리 장치를 포함하는 습식 처리 스테이션; 추가 처리 스테이션; 및 기판을 습윤 처리 스테이션 및/또는 추가 처리 스테이션으로 이동시키고 기판 처리 장치 안 및/또는 바깥 방향으로 기판을 이동시키기 위한 기판 핸들러를 포함할 수 있다. 추가 처리 스테이션은, 침윤성 재료를 갖는 적어도 하나의 기판을 유지하기 위한 기판 홀더가 구비된 반응 챔버; 제1 가스 전구체를 반응 챔버에 제공하고 반응 챔버로부터 제거하는, 하나 이상의 반응 챔버 밸브를 포함하는 전구체 분배 및 제거 시스템; 및 전구체 분배 및 제거 시스템에 작동 가능하게 연결되고, 습윤 사이클에 의해 작동하는 경우에 기판 상의 침윤성 재료의 침윤을 실행하기 위한 프로그램을 구비한 메모리를 포함하는 순차 제어기를 포함하는 침윤 장치를 포함할 수 있다.
침윤 사이클은, 반응 챔버 내에서 제1 기간 동안에 제1 전구체를 제공하여 침윤성 재료와 제1 전구체의 반응 생성물로 기판 상의 침윤성 재료를 침윤하도록 전구체 분포 및 제거 시스템을 활성화시키는 단계와 반응 챔버로부터 제2 기간 동안에 제1 전구체의 일부를 제거하는 단계를 포함할 수 있다. 침윤 사이클은, 반응 챔버 내에서 제3 기간 동안에 제2 전구체를 제공하여 침윤성 재료 및/또는 제1 및/또는 제2 전구체의 반응 생성물로 기판 상의 침윤성 재료를 침윤하도록 전구체 분포 및 제거 시스템을 활성화시키는 단계를 포함할 수 있다. 처리 장치에서, 침윤성 재료로서 민감한 레지스트를 갖는 기판은 침윤 툴이 침윤되도록 남길 필요가 없을 수 있다. 이에 의해서, 침윤이 더 빨리 달성되고, 오염의 위험도 줄어들 것이다. 따라서, 침윤된 재료의 품질은 개선될 수 있다.
본 개시의 기판 처리 장치의 비제한적인 예가 도 1에 도시되어 있으며, 본 개시의 구현예에 따른 예시적인 기판 처리 장치(1)의 개략도를 포함한다. 도 1에 도시된 기판 처리 장치(1)는 예시적인 기판 처리 장치를 단순화시킨 개략 버전이고, 각각의 모든 요소, 즉 각각의 모든 밸브, 가스 라인 및 반응기 구성 요소 등을 포함하지 않으며, 이들은 본 개시의 기판 처리 장치의 제조에 이용될 수 있다.
예시적인 기판 처리 장치(1)는 카세트(3)가 위치할 수 있는 카세트 저장 부분(2), 처리 부분(4), 및 인터페이스 부분(5)을 포함할 수 있다. 기판 처리 장치(1)는 인터페이스 부분(5)을 통해 포토리소그래피 노광 장치에 기판을 전달할 수 있다. 인터페이스 부분(5)은 기판 처리 장치(1)의 일부 또는 별도의 포토리소그래피 노광 장치(미도시)일 수 있다. 처리 부분(4)에서 기판을 이동시키기 위한 기판 핸들러(6)가 제공될 수 있다.
기판 상에 레지스트를 코팅하기 위한 레지스트 코팅 장치를 포함하는 제1 습식 처리 스테이션(7)과 기판 상의 레지스트를 현상하기 위한 현상 처리 장치를 포함하는 제2 습식 처리 스테이션(8)이 처리 부분(4) 내에 제공될 수 있다. 제1 및 제2 습식 처리 스테이션(7, 8)은, 기판을 회전시키기 위한 회전식 기판 테이블(17)과 기판의 표면에 액체를 제공하기 위한 액체 디스펜서를 포함할 수 있다. 포토레지스트는 20 내지 60초 동안 초당 10 내지 100 회전으로 스피닝될 수 있다.
기판 핸들러(6)는, 기판을 제1 및/또는 제2 습식 처리 스테이션으로 이동시키고 카세트 저장 부분(2) 및 인터페이스 부분(5)을 통해 기판 처리 장치의 안 및/또는 바깥 방향으로 기판을 이동시키기 위해 구성되고 배열될 수 있다. 기판 핸들러(6)는 이 목적을 위해 수평 및 수직 방향으로 이동 가능한 기판 홀더를 가질 수 있다. 가열 스테이션(9) 및 냉각 스테이션(10)은 기판의 베이킹과 냉각 각각을 위해 처리 부분(4)에 제공될 수 있고, 기판 핸들러(6)에 의해 기판을 공급받을 수 있다.
기판 처리 장치는, 레지스트 또는 하드 마스크와 같은 침윤성 재료를 갖는 적어도 하나의 기판을 유지하기 위해 기판 홀더(13)가 구비된 반응 챔버(12)를 포함하는 추가 처리 스테이션(11)을 포함할 수 있다. 제1 및/또는 제2 기상 전구체를 반응 챔버(12)에 제공하고 반응 챔버로부터 제거하기 위해 하나 이상의 반응 챔버 밸브를 포함하는 전구체 분배 및 제거 시스템(14)을 포함하는 침윤 장치는 포함할 수 있다. 기판 핸들러(6)는 기판을 추가 처리 스테이션으로 및 이로부터 이동시키기 위해 구성되고 배열될 수 있다.
기판 처리 장치에서, 카세트 저장 부분(2) 상에 위치한 카세트(3)에 포함된 기판(15)은 처리 부분(4)에 로딩되고 기판 핸들러(6)에 의해 제1 습식 처리 스테이션(7) 내로 로딩된다. 제1 습식 처리 스테이션(7)에서, 레지스트 코팅 장치는 웨이퍼 상에 레지스트 용액을 코팅할 수 있다. 그 후, 기판은 가열 스테이션, 추가 처리 스테이션 및/또는 인터페이스 부분(5)으로 이송될 수 있다. 인터페이스 부분(5)에서, 기판을 포토리소그래피 노광 장치 및 역으로 이송하기 위해 제1 및 제2 기판 테이블(16, 17)이 존재할 수 있다.
포토리소그래피 노광 장치는 기판 상의 레지스트를 패턴으로 노광시키고 기판(15)은 역방향 경로에서 처리 부분의 제2 습식 처리 스테이션(8)으로 이송된다. 제2 습식 처리 스테이션에서, 현상 처리 장치는 기판(15) 상의 패터닝된 레지스트를 현상한다. 그 후, 기판 핸들러(6)에 의해 기판을 가열 스테이션, 추가 처리 스테이션 및/또는 카세트 장착 부분(2)으로 이송시킬 수 있다.
도 2는 도 1의 기판 처리 장치를 위한 침윤 장치를 포함하는 비제한적이고 예시적인 추가 처리 스테이션을 도시한다. 추가 처리 스테이션(11)은 침윤성 재료(106)를 위에 구비한 적어도 하나의 기판(15)을 유지하도록 구성되고 배열되는 반응 챔버(12)를 포함할 수 있다.
침윤성 재료를 침윤하기 위해 사용될 수 있는 반응 챔버는, 원자층 증착(ALD) 공정을 위해 구성된 반응 챔버뿐만 아니라 화학 기상 증착(CVD) 공정을 위해 구성된 반응 챔버를 포함할 수 있다. 일부 구현예에 따라, 샤워헤드 반응기가 사용될 수 있다. 일부 구현예에 따라, 교차 흐름, 배치, 미니배치, 침지 또는 공간 ALD 반응기가 사용될 수 있다.
본 개시의 일부 구현예에서, 배치식 반응기가 사용될 수 있다. 일부 구현예에서, 수직형 배치식 반응기가 사용될 수 있다. 다른 구현예에서, 배치식 반응 챔버는 10개 이하의 웨이퍼, 8개 이하의 웨이퍼, 6개 이하의 웨이퍼, 4개 이하의 웨이퍼 또는 2개의 웨이퍼를 수용하도록 구성되는 미니 배치식 반응기를 포함한다.
침윤성 재료(106)가 위에 배치되는, 즉 기판(15)의 상부 표면 상에 배치되는 적어도 하나의 기판(15)은 반응 챔버(12) 내에 배치될 수 있다. 본 개시의 일부 구현예에서 기판(15)은 평면 기판 또는 패터닝된 기판을 포함할 수 있다. 기판(15)은, 실리콘(Si), 게르마늄(Ge), 게르마늄주석(GeSn), 실리콘게르마늄(SiGe), 실리콘게르마늄주석(SiGeSn), 실리콘카바이드(SiC), 또는 예를 들어 갈륨아세나이드(GaAs), 갈륨포스파이드(GaP), 또는 갈륨나이트라이드(GaN)와 같은 III-V족 반도체 물질을 포함하나 이에 제한되지 않는 하나 이상의 물질을 포함할 수 있다. 본 개시의 일부 구현예에서, 기판(15)은 표면 반도체층이 그 사이에 배치된 중간 매립 산화물(BOX)을 갖는 벌크 지지체 위에 배치되는 엔지니어링된 기판을 포함할 수 있다.
패터닝된 기판은 기판의 표면 내로 또는 표면 위로 형성된 반도체 소자 구조를 포함할 수 있는 기판을 포함할 수 있고, 예를 들어 패터닝된 기판은 트랜지스터 및/또는 메모리 요소와 같이 부분적으로 제조된 반도체 소자 구조를 포함할 수 있다. 일부 구현예에서, 기판은 단결정질 표면 및/또는 하나 이상의 이차 표면을 포함할 수 있고, 상기 이차 표면은 비단결정질 표면, 예를 들어 다결정질 표면 및/또는 비정질 표면을 포함할 수 있다. 단결정질 표면은, 예를 들어, 하나 이상의 실리콘(Si), 실리콘게르마늄(SiGe), 게르마늄주석(GeSn), 게르마늄(Ge)을 포함할 수 있다. 다결정질 또는 비정질 표면은 유전체 재료, 예를 들어 실리콘산화물 및 실리콘질화물과 같은 산화물, 산질화물 또는 질화물을 포함할 수 있다.
본 개시의 일부 구현예에서, 기판(15)은 그 위에 배치된, 즉 기판(15)의 상부 표면 상에 배치되는 침윤성 재료(106)를 갖는다. 침윤성 재료(106)는, 침윤성 재료(106) 내로 도입될 때 침윤성 재료(106)의 에칭 저항성을 증가시킬 수 있는 추가적인 종이 도입될 수 있는 임의의 재료를 포함할 수 있다. 본 개시의 일부 구현예에서, 침윤성 재료(106)는, 예를 들어 포토레지스트, 극자외선(EUV) 레지스트, 침지 포토레지스트, 화학적으로 증폭된 레지스트(CAR), 또는 전자 빔 레지스트(예, 폴리(메틸 메타크릴레이트)(PMMA))와 같은 고분자 레지스트 중 적어도 하나를 포함할 수 있다.
본 개시의 일부 구현예에서, 침윤성 재료(106)는, 예를 들어 스핀-온-글라스(SOG), 및 스핀-온-카본(SOC)과 같은 다공성 물질을 포함하는 다공성 물질, 예를 들어 미세-다공성 및/또는 나노-다공성을 포함할 수 있다. 본 개시의 일부 구현예에서, 침윤성 재료(106)는 붕소 탄화물, 비정질 탄소, 실리콘 산화물, 실리콘 질화물, 및 실리콘 산질화물을 포함하나 이에 제한되지 않는 하나 이상의 하드마스크 재료를 포함할 수 있다.
본 개시의 일부 구현예에서, 침윤성 재료(106)는, 하나 이상의 침윤성 특징부를 포함하는 패터닝된 레지스트 또는 패터닝된 하드 마스크와 같이, 패터닝된 침윤성 재료를 포함할 수 있다. 특징부는 후속하는 에칭 공정 동안 하부 기판으로 전사될 수 있다. 침윤성 특징부는 노광 및 연관 현상 공정에 따라 형성될 수 있는 임의의 기하 구조를 포함할 수 있고, 선 특징부, 블록 특징부, 개방 포어 특징부, 및 원형 특징부를 포함할 수 있지만, 이에 제한되지 않는다.
본 개시의 일부 구현예에서, 침윤성 재료(106)는 후속 공정 동안에 패터닝될 수 있는 평평한 침윤성 재료를 포함할 수 있다. 예를 들어, 침윤성 재료(106)는 후속하는 리소그래피 노광 단계 동안에 패터닝될 수 있는 평평한 레지스트를 포함할 수 있거나, 침윤성 재료(106)는 후속하는 에칭 단계 동안에 패터닝될 수 있는 평평한 하드 마스크를 포함할 수 있다.
기판(15)은 반응 챔버(12) 내에 배치될 수 있고, 적어도 하나의 기판을 그 위에 보유하도록 구성되는 기판 홀더(13)에 의해 제자리에 유지될 수 있다. 본 개시의 일부 구현예에서, 본원에 개시된 침윤 공정은, 기판(15) 및 관련 침윤성 재료(106)를 적절한 공정 온도로 가열하는 공정을 이용할 수 있다. 따라서, 기판 홀더(13)는 침윤성 재료(106)가 위에 배치된 기판(15)을 가열하도록 구성될 수 있는 하나 이상의 가열 요소(110)를 포함할 수 있다. 가열 요소(110)는 기판(15)을 20 내지 450°C, 바람직하게는 50 내지 150°C, 보다 바람직하게는 60 내지 120°C, 가장 바람직하게는 70 내지 100°C, 예를 들어 85 °C의 온도로 가열하도록 구성될 수 있다. 본 개시의 일부 구현예에서, 추가 스테이션(11)은 0.001 내지 1,000, 바람직하게는 0.1 내지 500, 및 가장 바람직하게는 1 내지 100 토르로 반응 챔버의 압력을 제어하도록 구성되고 배열된다.
본 개시의 일부 구현예에서, 침윤 장치를 포함하는 추가 스테이션(11)은 전구체 분배 및 제거 시스템을 포함할 수 있다. 전구체 분배 및 제거 시스템은, 다수의 전구체 증기를 제공하고 연관된 증기를 반응 챔버(12)에 분배하도록 구성되고 배열되는 하나 이상의 전구체 공급원(114a 및 114b)을 추가로 포함할 수 있는 가스 전달 시스템(112)을 포함할 수 있다. 가스 전달 시스템(112)은, 본원에 기술된 예시적인 침윤 공정의 퍼지 사이클에서 활용될 수 있는 퍼지 가스를 저장하고 분배하도록 구성되는 공급원 용기(116)를 또한 포함할 수 있다. 가스 전달 시스템(112)은, 본원에 기술된 예시적인 침윤 공정에 활용되기 위해. 반응물을 포함하고 이를 반응 챔버(12)에 디스펜싱하도록 구성되는 반응물 공급원 용기(118)를 또한 포함할 수 있다. 비제한적인 예로서, 추가 스테이션(11)은 제1 전구체의 증기를 제공하도록 구성되고 배열되는 제1 전구체 공급원(114a)을 포함할 수 있다. 일부 구현예에서, 제1 전구체 공급원(114a)은 제1 전구체를 기화시키도록 구성되고 배열되는 제1 전구체 기화기를 포함할 수 있다.
일부 구현예에서, 제1 전구체 공급원(114a)은 적절한 작동 조건 하에서 제1 전구체를 저장하고 포함하도록 구성되는 공급원 용기를 포함할 수 있다. 예를 들어, 제1 전구체는 고체 전구체, 액체 전구체, 또는 기상 전구체를 포함할 수 있고, 공급원 용기는 적절한 작동 조건 하에서 고체, 액체, 또는 기상 전구체를 저장하고 포함하도록 구성될 수 있다. 일부 구현예에서, 제1 전구체 공급원은, 제1 전구체를 적절한 작동 온도로 가열함으로써 제1 전구체의 일부를 제어 가능하게 기화시킬 수 있는 하나 이상의 제어 가능한 가열 요소를 포함할 수 있는 제1 전구체 기화기를 포함할 수 있으며, 후속으로 기화된 증기는 침윤성 재료를 침윤시키기 위한 적절한 수단을 통해 반응 챔버(12)로 분배된다. 일부 구현예에서, 제1 전구체 공급원(114a)과 관련된 하나 이상의 가열 요소는 제1 전구체의 증기압을 제어하도록 구성될 수 있다. 또한, 예를 들어 질량 흐름 제어기(MFC)와 같은 흐름 제어기(120a)는 제1 전구체 공급원(114a)과 더 연관될 수 있고, 예를 들어 제1 전구체 기화기와 같은 제1 전구체 공급원(114a)으로부터 생성된 증기의 질량 흐름을 제어하도록 구성될 수 있다. 흐름 제어기(120a) 이외에, 밸브(122a), 예를 들어 차단 밸브가 제1 전구체 공급원(114a)과 연관될 수 있고, 반응 챔버(12)로부터 제1 전구체 공급원(114a)을 분리하는 데 사용될 수 있고, 즉 밸브(122a)가 제1 전구체 공급원(114a)에 의해 생성된 증기 폐쇄 위치에 있는 경우, 반응 챔버(12)로 유입되는 것이 방지될 수 있다.
추가적인 구현예에서, 제1 전구체 공급원(114a)은 캐리어 가스 입력부(미도시)를 추가로 포함할 수 있어서, 캐리어 가스(예, 질소)가 제1 전구체를 통해 통과하거나 버블링되어 제1 전구체가 캐리어 가스에 혼입될 수 있도록 하고, 후속하여 캐리어 가스/제1 전구체 증기는 적절한 수단에 의해 반응 챔버(12)로 전달될 수 있다.
본 개시의 일부 구현예에서, 예시적인 침윤 스테이션(11)(도 2)은, 반응 챔버(12)에 제1 전구체 공급원(114a)으로부터 제1 전구체 증기를 제공하고 반응 챔버(12)로부터 제1 전구체 증기를 제거하기 위해 구성되고 배열되는 전구체 분배 및 제거 시스템을 포함할 수 있다.
본 개시의 일부 구현예에서, 예시적인 추가 처리 스테이션(11)은, 반응 챔버(12) 내에서 알루미늄(Al), 하프늄(Hf), 갈륨(Ga), 게르마늄(Ge), 지르코늄(Zr), 인듐(In), 리튬(Li), 텔루륨(Te), 안티몬(Sb), 및 주석(Sn)을 포함하는 군으로부터의 금속을 포함하는 제1 전구체 공급원(114)으로부터의 제1 전구체 증기를, 반응 챔버(12)에 제공하도록 구성되고 배열되는 전구체 분배 및 제거 시스템을 포함할 수 있다.
본 개시의 일부 구현예에서, 예시적인 추가 처리 스테이션(11)은 반응 챔버(12) 내에 금속 알킬아미드 전구체를 포함하는 전구체를 제공하도록 구성되고 배열되는 전구체 분배 및 제거 시스템을 포함할 수 있다.
본 개시의 일부 구현예에서, 예시적인 추가 처리 스테이션(11)은, 트리메틸알루미늄(TMA), 트리에틸알루미늄(TEA), 및 디메틸알루미늄하이드라이드(DMAH)를 포함하는 군으로부터 선택된 전구체를 제공하도록 구성되고 배열되는 전구체 분배 및 제거 시스템을 포함할 수 있다. 침윤 장치는, 이에 의해 예를 들어 레지스트와 같은 침윤성 재료 내에 알루미늄과 같은 금속을 침윤시킬 수 있다.
본 개시의 일부 구현예에서, 예시적인 추가 처리 스테이션(11)은, 반응 챔버(12)에서 금속 할라이드를 포함하는 제1 전구체 증기를 제1 전구체 공급원(114)으로부터 반응 챔버(12)에 제공하도록 구성되고 배열되는 전구체 분배 및 제거 시스템을 포함할 수 있다.
본 개시의 일부 구현예에서, 침윤 장치의 전구체 분배 및 제거 시스템은 반응 챔버 내에 SnI4 또는 SnCl4를 포함하는 전구체를 제공하도록 구성되고 배열된다. 본 개시의 일부 구현예에서, 예시적인 추가 처리 스테이션(11)은 반응 챔버 내에 테트라에틸주석, 테트라메틸주석 또는 주석아세틸아세토네이트를 포함하는 군으로부터 선택되는 전구체를 제공하도록 구성되고 배열되는 전구체 분배 및 제거 시스템을 포함할 수 있다. 침윤 장치는, 이에 의해 예를 들어 레지스트와 같은 침윤성 재료 내에 알루미늄과 같은 금속을 침윤시킬 수 있다.
본 개시의 일부 구현예에서, 예시적인 추가 스테이션(11)은, 반응 챔버에서 마그네슘 및/또는 칼슘을 포함하는 제1 전구체 증기를 제1 전구체 공급원(114)으로부터 반응 챔버(12)에 제공하도록 구성되고 배열되는 전구체 분배 및 제거 시스템을 포함할 수 있다.
일부 구현예에서, 침윤 장치는, 예를 들어 레지스트와 같은 침윤성 재료 내의 실리콘을 침윤시키도록 구성되고 배열될 수 있다.
일부 구현예에서, 제1 전구체 공급원(114a)은 아미노실란의 증기를 제공하도록 구성되고 배열될 수 있다.
일부 구현예에서, 제1 전구체 공급원은, 3-아미노프로필 및 실리콘 포함 화합물 증기, 즉 3-아미노프로필 성분 및 실리콘 성분을 모두 포함하는 실리콘 전구체를 포함하는 제공하도록 구성될 수 있고 배열될 수 있다.
일부 구현예에서, 제1 전구체 공급원(114a)은 3-아미노프로필 트리에티옥시실란(APTES) 증기를 제공하도록 구성되고 배열될 수 있다. 예를 들어, 제1 전구체 공급원(114a)은 3-아미노프로필 트리에티옥시실란(APTES)을 기화시키도록 구성되고 배열될 수 있는 제1 전구체 기화기를 포함할 수 있다. 예를 들어, APTES는 적절한 공급원 용기에 저장되고 함유될 수 있고, 관련 가열 요소는 APTES를 0 °C 초과, 또는 90 °C 초과, 또는 심지어 230 °C 초과의 온도로 가열하는 데 이용될 수 있어서 APTES의 일부분을 기화시킴으로써 침윤성 재료를 침윤시키기에 적합한, 기화된 제1 전구체를 생성한다.
일부 구현예에서, 제1 전구체 공급원(114a)은 3-아미노프로필-트리메톡시실란(APTMS) 증기를 제공하도록 구성되고 배열될 수 있다. 예를 들어, 제1 전구체 공급원(114a)은 3-아미노프로필-트리메톡시실란(APTMS)을 기화시키도록 구성되고 배열될 수 있는 제1 전구체 기화기를 포함할 수 있다. 예를 들어, APTMS는 적절한 공급원 용기에 저장되고 함유될 수 있고, 관련 가열 요소는 APTMS를 0 °C 초과, 또는 90 °C 초과, 또는 심지어 230 °C 초과의 온도로 가열하는 데 이용될 수 있어서 APTMS의 일부분을 기화시킴으로써 침윤성 재료를 침윤시키기에 적합한, 기화된 제1 전구체를 생성한다.
본 개시의 일부 구현예에서, 제1 전구체 공급원(114a)은 알콕사이드 리간드, 및 알콕사이드 리간드 이외의 추가의 리간드를 포함하는 실리콘 전구체의 증기를 제공하도록 구성될 수 있고 배열될 수 있다. 예를 들어, 제1 전구체 공급원(114a)은 알콕사이드 리간드, 및 알콕사이드 리간드 이외의 추가 리간드를 포함하는 실리콘 전구체를 기화시키도록 구성되고 배열될 수 있는 제1 전구체 기화기를 포함할 수 있다.
일부 구현예에서, 제1 전구체 공급원(114a)은 실리콘 원자에 부착된 아미노-치환 알킬기를 포함하는 실리콘 전구체의 증기를 제공하도록 구성되고 배열될 수 있다.
보다 상세하게, 전구체 분배 시스템은, 가스 전달 시스템(112), 및 예를 들어 제1 전구체 공급원(114a)과 유체 연통하는 가스 라인(124), 제2 전구체 공급원(114b)과 유체 연통하는 가스 라인(126), 공급원 용기(116)와 유체 연통하는 가스 라인(128), 및 반응물 공급원 용기(118)와 유체 연통하는 가스 라인(130)과 같이 하나 이상의 가스 라인을 포함할 수 있다. 비제한적인 예로서, 가스 라인(124)은 제1 전구체 공급원(114a)에 유체 연결되고, 제1 전구체의 증기를 반응 챔버(12)로 운반하도록 구성될 수 있다.
전구체 분배 시스템은, 제1 전구체의 증기를 반응 챔버(12) 내로, 및 침윤성 재료(106)가 위에 배치된 기판(15) 위에 디스펜싱하도록 구성되는 가스 디스펜서(132)를 추가로 포함할 수 있고, 가스 디스펜서(132)는 가스 라인(126, 128, 130)과 유체 연통하는 것에 더하여 가스 라인(124)과 유체 연통한다.
비제한적인 예로서, 가스 디스펜서(132)는 도 2에서 블록 형태로 도시된 바와 같이 샤워헤드를 포함할 수 있다. 샤워 헤드가 블록 형태로 도시되어 있지만, 샤워헤드는 비교적 복잡한 구조일 수 있는 점에 주목해야 한다. 일부 구현예에서, 샤워헤드는 가스 혼합물을 반응 챔버(12)에 분배하기 전에 여러 공급원으로부터 증기를 혼합하도록 구성될 수 있다. 대안적인 구현예에서, 샤워헤드는 샤워헤드 내로 도입된 다수의 증기의 분리를 유지하도록 구성될 수 있으며, 다수의 증기는 반응 챔버(12) 내에 배치된 기판(15)의 부근에서 서로 오직 접촉하게 된다. 또한, 샤워헤드는 반응 챔버(12)로 가스의 수직 또는 수평 유동을 제공하도록 구성될 수 있다. 예시적인 가스 분배 시스템은, 미국 특허 제8,152,922호에 기술되어 있으며, 그 내용이 본 개시와 충돌하지 않는 한 본원에 참고로 내용이 원용된다.
도 2에 도시된 바와 같이, 전구체 분배 시스템은, 가스 전달 시스템(112), 적어도 가스 라인(124, 126, 128 및 130), 및 가스 분배기(132)를 포함할 수 있지만, 전구체 분배 시스템은, 예를 들어 추가 가스 라인, 밸브, 액추에이터, 밀봉부, 및 가열 요소와 같이 도 2에 도시되지 않은 추가 구성 요소를 포함할 수 있음에 유의해야 한다.
전구체 분배 시스템 이외에, 침윤 장치를 포함하는 추가 스테이션(11)은 반응 챔버(12)로부터 가스를 제거하도록 구성되고 배열되는 제거 시스템을 또한 포함할 수 있다. 일부 구현예에서, 제거 시스템은 반응 챔버(12)의 벽 내에 배치된 배기 포트(134), 배기 포트(134)와 유체 연통하는 배기 라인(136), 및 배기 라인(136)과 유체 연통하고 반응 챔버(12) 내부로부터 가스를 배기하도록 구성된 진공 펌프(138)를 포함할 수 있다. 일단 가스(들)가 진공 펌프(138)를 이용하여 반응 챔버(12)로부터 배기되었다면, 가스는 추가적인 배기 라인(140)을 따라 운반될 수 있고 추가적인 제거 공정을 거칠 수 있는 추가 스테이션(11)을 빠져나갈 수 있다.
반응 챔버(12) 내부로부터 전구체 가스, 즉 반응성 증기의 제거에 더 도움을 주기 위해, 제거 시스템은 가스 라인(128)을 통해 가스 분배기(132)에 유체 연결되는 공급원 용기(116)를 추가로 포함할 수 있다. 예를 들어, 공급원 용기(116)는, 예를 들어 아르곤(Ar), 질소(N2), 또는 헬륨(He)과 같은 퍼지 가스를 함유하고 저장할 수 있다. 공급원 용기(116)와 연과된 흐름 제어기(120c) 및 밸브(122c)는 흐름 및 특히 가스 라인(128)을 통해 가스 분배기(132) 및 반응 챔버(12) 내로 전달되는 퍼지 가스의 질량 흐름을 제어할 수 있되, 퍼지 가스는 반응 챔버(12)로부터의 기상 전구체 가스, 불활성 가스 및 부산물 그리고 특히 침윤성 재료(106)의 노출 표면으로부터의 퍼지 전구체 가스 및 미반응 부산물을 제거하는 데 도움을 줄 수 있다. 퍼지 가스(및 임의의 연관된 전구체 및 부산물)는 진공 펌프(138)의 활용을 통해 배기 포트(134)를 통해 반응 챔버(12)를 빠져나갈 수 있다.
본 개시의 일부 구현예에서, 추가 스테이션(11)은, 상기 전구체 분배 및 제거 시스템에 작동 가능하게 연결되고, 작동되는 경우에 상기 침윤성 재료의 침윤을 실행하도록 프로그램이 제공되는 메모리(144)를 포함하는 순차 제어기(142)를 추가로 포함할 수 있다.
보다 상세하게, 예시적인 추가 스테이션(11)은 제어 라인(144a, 144b, 144c)을 또한 포함할 수 있는 순차 제어기(142)를 포함할 수 있되, 제어 라인은 침윤 시스템(11)의 다양한 시스템 및/또는 구성 요소를 순차 제어기(142)에 인터페이싱할 수 있다. 예를 들어, 제어 라인(144a)은 순차 제어기(142)를 가스 전달 시스템(112)으로 인터페이싱함으로써 가스 라인(124, 126, 128 및 130)뿐만 아니라 가스 분배기(132)를 포함하는 전구체 분배 시스템에 제어를 제공할 수 있다. 제어 라인(144b)은 순차 제어기(142)를 반응 챔버(12)와 인터페이싱함으로써 공정 압력 및 서셉터 온도를 포함하나 이에 제한되지 않는 반응 챔버의 동작에 대한 제어를 제공할 수 있다. 제어 라인(144c)은 순차 제어기(142)를 진공 펌프(138)와 인터페이싱함으로써 가스 제거 시스템에 대한 동작 및 제어가 순차 제어기(142)에 의해 제공될 수 있다.
도 2에 도시된 바와 같이, 순차 제어기(142)는 3개의 제어 라인(144a, 144b, 144c)을 포함하나, 다수의 제어 라인, 즉 전기적이고/거나 광학적으로 연결된 제어 라인은 추가 스테이션(11)을 포함하는 요구 시스템과 구성 요소를 순차 제어기(142)와 인터페이싱함으로써, 침윤 장치에 대한 전반적인 제어를 제공할 수 있음을 주목해야 한다.
본 개시의 일부 구현예에서, 순차 제어기(142)는 예시적인 침윤 장치에 포함된 밸브, 히터, 흐름 제어기, 매니폴드, 펌프 및 기타 장비를 선택적으로 조작하기 위한 전자 회로를 포함할 수 있다. 이러한 회로 및 구성 요소는 전구체 공급원(114a, 114b), 반응물 공급원 용기(118), 및 퍼지 가스 공급원 용기(116)으로부터 전구체 가스와 퍼지 가스를 각각 도입하도록 작동한다. 순차 제어기(142)는 또한 전구체 펄스 순서의 시점, 기판과 반응 챔버(12)의 온도, 반응 챔버의 압력, 및 추가 스테이션(11)의 적절한 조작을 제공하는 데 필요한 기타 다양한 조작들을 제어한다. 일부 구현예에서, 순차 제어기(142)는 반응 챔버(12) 내부 및 외부로의 전구체 및 퍼지 가스의 흐름을 제어하기 위한 제어 소프트웨어, 및 전기식 또는 유압식 제어 밸브를 포함할 수 있다. 본 개시의 일부 구현예에서, 순차 제어기(142)는 순차 제어기 상에서 실행되는 경우에 침윤성 재료의 침윤을 실행하도록 프로그램이 제공되는 메모리(144)를 포함할 수 있다. 예를 들어, 순차 제어기(142)는 예를 들어, 특정 침윤 공정을 수행하는 FPGA 또는 ASIC과 같은 소프트웨어 또는 하드웨어 구성 요소와 같은 모듈을 포함할 수 있다. 모듈은 순차 제어기(142)의 어드레스 가능한 저장 매체에 탑재되어 하나 이상의 침윤 공정을 실행하도록 구성될 수 있다.
본 개시의 일부 구현예에서, 반응 챔버(12) 내에서 기판(15) 상의 침윤성 재료(106)에 제1 전구체 증기를 제공함으로써 반응 챔버(12) 내에서 기판(15) 상의 침윤성 재료(106)가 제1 전구체 증기와 침윤성 재료(106)의 반응의 반응 생성물로 침윤되도록 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 순차 제어기(142)의 메모리(144)는 침윤성 재료(106)의 침윤을 실행하기 위한 프로그램이 구비될 수 있다.
본 개시의 일부 구현예에서, 예시적인 추가 스테이션(11)은 예를 들어 제2 전구체 기화기와 같은 제2 전구체 공급원(114b)을 포함할 수 있다. 보다 상세하게, 제2 전구체 공급원(114b)은 제2 전구체의 증기를 제공하도록 구성되고 배열될 수 있다. 예를 들어, 제2 전구체 공급원(114b)은 제2 전구체를 기화시키도록 구성되고 배열될 수 있는 제2 전구체 기화기를 포함할 수 있다. 일부 구현예에서, 제2 전구체 공급원(114b)은 제1 전구체 공급원(114a)과 동일하거나 실질적으로 동일할 수 있고, 따라서 제2 전구체 공급원(114b)에 관한 세부 사항은 간결함을 위해 생략된다.
일부 구현예에서, 전구체 분배 시스템 및 제거 시스템은 제2 전구체 공급원(114b)으로부터의 제2 전구체의 증기를 반응 챔버(12)에 제공하도록 구성되고 배열될 수 있다. 예를 들어, 가스 라인(126)은 흐름 제어기(120b) 및 밸브(122b)를 통해 제2 전구체 공급원(114b)에 유체 연결될 수 있고, 제2 전구체의 증기를 제2 전구체 공급원(114b)에서 가스 분배기(132)로 그리고 이어서 반응 챔버(12)로 전달할 수 있다. 본 개시의 일부 구현예에서, 반응 챔버(12)에 제2 전구체 증기를 제공함으로써 기판(15) 상의 침윤성 재료(106)가 제2 전구체 증기로 침윤되도록 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144)의 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍될 수 있다.
본 개시의 일부 구현예에서, 제1 전구체 이후 제2 전구체를 제공하여, 즉 제1 전구체 공급원(114a)은 제1 전구체의 증기를 상기 반응 챔버(12) 내로 제공하여 침윤성 재료(106)를 제1 전구체로 침윤시킬 수 있고, 후속으로 제2 전구체 공급원(114b)은 제2 전구체의 증기를 반응 챔버(12)로 제공하여 침윤성 재료(106)를 제2 전구체로 침윤시킬 수 있도록 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144)의 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍될 수 있다. 메모리(144)에 저장되는 프로그램의 침윤 사이클은, 순차 제어기(142) 상에 실행되는 경우, 침윤성 재료(106)의 침윤을 실행하도록 제2 전구체 증기를 제공하는 제3 기간보다 긴 제1 전구체 증기를 제공하는 제1 기간을 가질 수 있다. 대안적으로, 메모리(144)에 저장된 프로그램의 침윤 사이클은, 순차 제어기(142) 상에서 실행되는 경우, 침윤성 재료(106)의 침윤을 실행하도록 제1 기간보다 긴 제3 기간을 가질 수 있다. 메모리(144)에 저장된 프로그램의 침윤 사이클은, 제3 주기보다 0.1 내지 10,000 바람직하게 1 내지 1,000, 가장 바람직하게는 5 내지 100 배 더 길게 제1 전구체의 증기를 제공하는 제1 주기를 가질 수 있다.
일부 구현예에서, 순차 제어기(142)는 메모리(144) 상에 프로그램을 실행하여 제2 전구체 이후 제1 전구체를 제공하여, 즉 제2 전구체 공급원(114b)은 제2 전구체의 증기를 상기 반응 챔버(12) 내로 제공하여 침윤성 재료(106)를 제2 전구체로 침윤시킬 수 있고, 후속으로 제1 전구체 공급원(114a)은 제1 전구체의 증기를 반응 챔버(12)로 제공하여 침윤성 재료(106)를 제1 전구체로 침윤시킬 수 있도록 전구체 분배 시스템 및 제거 시스템을 활성화시킬 수 있다.
본 개시의 일부 구현예에서, 제1 전구체를 반응 챔버(12)에 제공한 다음에 퍼지 사이클에 의해 반응 챔버로부터 과량의 제1 전구체 및 임의의 부산물을 제거하고, 후속으로 제2 전구체를 반응 챔버에 제공한 다음에 제2 퍼지 사이클에 의해 반응 챔버로부터 과량의 제2 전구체 및 임의의 부산물을 제거하도록 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144)에 저장된 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍될 수 있다.
보다 상세하게는, 순차 제어기(142)의 메모리(144) 내에 장착된 프로그램은, 먼저 제1 전구체 공급원(114a)을 활성화시키고 제1 전구체의 증기를 반응 챔버(12)에 제공하여 제1 전구체의 증기로 침윤성 재료(106)를 침윤시킬 수 있고, 후속하여 제1 전구체 공급원(114a)은 비활성화될 수 있고, 제1 전구체 공급원(114a)과 반응 챔버(12) 사이의 반응 챔버(12)에 대한 유체 연결은, 예를 들어 제1 전구체 공급원(114a)과 연관된 밸브(122A)에 의해 결합 해제될 수 있다. 일단 제1 전구체 공급원(114a)이 비활성화되고 반응 챔버(12)로부터 결합 해제되면, 순차 제어기(142)의 메모리(144)에 장착된 프로그램은 진공 펌프(138)와 결합되거나 계속해서 결합되어 제1 전구체 및 임의의 부산물의 과잉 증기를 반응 챔버(12)로부터 배기할 수 있다. 추가 구현예에서, 제1 전구체 및 임의의 부산물의 과잉 증기를 반응 챔버(12)로부터 배기하기 위해 진공 펌프(138)를 활용하는 것 이외에, 순차 제어기(142)의 메모리(144)에 장착된 프로그램은, 예를 들어 공급원 용기(116)와 관련된 밸브(122c)를 개방함으로써 퍼지 가스 공급원을 포함하는 공급원 용기(116)를 활성화시킬 수 있다. 퍼지 가스는 가스 라인(128)을 통해 가스 분배기(132)를 경유해서 반응 챔버(12)로 흐를 수 있고, 반응 챔버(12)를 퍼지하고, 특히 기판(15) 상에 배치된 침윤성 재료(106)를 퍼지할 수 있다. 순차 제어기(142)의 메모리(144)에 장착된 프로그램은 후속하여 반응 챔버(12)를 통해 퍼지 가스의 흐름을 비활성화시키고. 후속하여 제2 전구체 공급원(114b)을 활성화시킴으로써 제2 전구체의 증기를 반응 챔버(12)에 제공하고, 특히 제2 증기 공급원(114b)에 의해 제공되는 제2 전구체 증기로 침윤성 재료(106)를 침윤시킬 수 있다. 순차 제어기(142)의 메모리(144)에 장착된 프로그램은 후속하여 반응 챔버(12)로 제2 전구체의 증기의 흐름을 비활성화시키고, 후속하여 공급원 용기(116)를 활성화시켜 반응 챔버를 다시 퍼지하고, 예를 들어 제2 전구체의 과잉 증기를 제거한다.
본 개시의 일부 구현예에서, 제2 전구체 증기를 반응 챔버에 제공한 다음에 퍼지 사이클에 의해 반응 챔버로부터 과량의 제2 전구체 및 임의의 부산물 증기를 제거하고, 후속으로 제1 전구체 증기를 반응 챔버에 제공한 다음에 퍼지 사이클에 의해 반응 챔버로부터 과량의 제1 전구체 및 임의의 부산물 가스를 제거하도록 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해, 순차 제어기(142) 상에서 실행되는 경우, 메모리(144)에 장착된 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍될 수 있다.
본 개시의 추가 구현예에서, 추가 스테이션(11)은 순차적 침윤 합성(SIS) 장치를 포함하는 침윤 장치를 포함할 수 있다. 예를 들어, 순차적 침윤 합성(SIS) 장치는 2개 이상의 기상 전구체에 대한 침윤성 재료의 교번 자기-제한 노출을 제공하도록 구성되고 배열될 수 있다.
본 개시의 추가적인 구현예에서, 제1 전구체 공급원(114a) 및 제2 전구체 공급원(114b)에 더하여, 예시적인 추가 스테이션(11)은 반응물 공급원 용기(118) 및 반응물 공급 라인, 즉 반응 챔버(12)에 산소 전구체를 포함하는 반응물을 제공하도록 구성되고 배열된 가스 라인(130)을 추가로 포함할 수 있다.
본 개시의 일부 구현예에서, 반응물 공급원 용기(118)는 고상, 액상, 또는 기상으로 반응물을 포함할 수 있다. 일부 구현예에서, 반응물 공급원 용기(118)는 반응물 기화기를 포함할 수 있고, 즉 하나 이상의 가열 요소는 반응물 공급원 용기와 연관될 수 있어서 반응물의 기화를 가능하게 함으로써 반응 챔버(12)에 산소 전구체를 포함하는, 기화된 반응물을 제공할 수 있다. 일부 구현예에서, 반응 챔버로 산소 전구체를 포함하는 증기 반응물의 흐름의 제어는, 반응물 공급원 용기(118)와 연관된 밸브(122d)와 흐름 제어기(120d)의 사용을 통해 달성될 수 있다. 반응물 공급원 용기(118)가 반응물 기화기를 추가로 포함하는 본 개시의 일부 구현예에서, 반응물 기화기는 산소 전구체를 포함하는 반응물로서 적어도 하나의 물(H2O), 또는 과산화수소(H2O2)를 기화시키도록 구성되고 배열될 수 있다.
본 개시의 일부 구현예에서, 반응물 공급원 용기(118)는 반응물 공급 라인(130) 및 가스 분배기(132)를 통해 산소 기상 전구체를 반응 챔버(12)에 저장 및 디스펜싱할 수 있다. 일부 구현예에서, 산소 기상 전구체는 적어도 하나의 오존(O3), 또는 산소 분자(O2)를 포함할 수 있다.
본 개시의 일부 구현예에서, 예시적인 침윤 스테이션(11)은 플라즈마 생성기(146)를 선택적으로 추가 포함할 수 있다. 플라즈마 생성기(146)는 산소 기상 전구체로부터 플라즈마를 발생시키도록 구성되고 배열될 수 있음으로써, 반응 챔버(12)에 산소 원자, 산소 이온, 산소 라디칼, 및 산소 여기종 중 하나 이상을 제공하고, 이에 의해 플라즈마 생성기(146)에 의해 생성된 산소계 플라즈마가 기판(15) 위에 배치된 침윤성 재료(106)와 반응할 수 있다.
본 개시의 일부 구현예에서, 예시적인 추가 스테이션(11)은 순차적 침윤 합성 장치일 수 있으며, 산소 전구체를 포함하는 반응물을 반응 챔버(12)에 제공하기 위해 구성되고 배열되는 반응물 공급원 용기(118) 및 반응물 공급 라인(130)을 추가로 포함하되, 반응 챔버(12)로부터 가스를 제거하기 위해 전구체 분배 시스템 및 제거 시스템을 활성화시키고, 반응 챔버(12)로 산소 전구체를 포함하는 반응물을 제공하기 위해 전구체 분배 시스템 및 제거 시스템을 활성화시킴으로써, 반응 챔버(12)에서 기판(15) 상의 침윤성 재료(106)가 제1 전구체 및 산소 전구체를 포함하는 반응물의 반응에 의해 침윤성 재료(106)와 침윤되는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 순차 제어기(142)의 메모리(144) 내 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍될 수 있다. 일부 구현예에서, 제1 전구체를 제공하는 단계, 및 후속하여 반응물을 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
본 개시의 일부 구현예에서, 전구체 분배 시스템 및 제거 시스템을 활성화시켜서 반응물 공급원 용기(118)로부터 반응 챔버에 산소 전구체 그 다음 제1 전구체 공급원(114a)으로부터 반응 챔버(12)에 제1 전구체의 증기를 제공함으로써 침윤성 재료를 제1 전구체 및 산소 원자로 침윤시킴에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144)에 장착된 프로그램은 침윤성 재료(106)의 순차적 침윤 합성을 실행하도록 프로그래밍될 수 있다. 일부 구현예에서, 산소 전구체 그 다음 제1 전구체 증기를 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
본 개시의 일부 구현예에서, 장치는 순차적 침윤 합성 장치를 포함하고, 제2 전구체의 증기를 반응 챔버(12)에 제공하도록 구성되고 배열되는 제2 전구체 공급원(114b)을 더 포함한다. 예를 들어, 제2 전구체 공급원(114b)은 제2 전구체를 기화시키도록 구성되고 배열되는 제2 전구체 기화기를 포함할 수 있다. 일부 구현예에서, 전구체 분배 시스템 및 제거 시스템은 제2 전구체 공급원(114b)로부터 반응 챔버(12)에 제2 전구체 증기를 제공하기 위해 구성되고 배열될 수 있고, 제2 전구체 증기를 제공하도록 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144)의 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍될 수 있다.
본 개시의 일부 구현예에서, 제1 전구체, 이어서 상기 반응물, 이어서 제2 전구체, 이어서 반응물을 제공하기 위해 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144) 내의 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍된다.
본 개시의 일부 구현예에서, 제1 전구체, 이어서 상기 반응물, 이어서 제2 전구체, 이어서 반응물을 제공하는 단계를 여러 번 반복하기 위해 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144) 내의 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍된다.
본 개시의 일부 구현예에서, 제1 전구체, 이어서 상기 반응물, 이어서 제2 전구체, 이어서 반응물을 제공하는 각 단계 사이에서 반응 챔버로부터 전구체 및/또는 반응물을 제거하기 위해 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144) 내의 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍된다.
본 개시의 일부 구현예에서, 제1 전구체, 이어서 제2 전구체, 및 이어서 반응물을 제공하기 위해 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144) 내의 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍될 수 있다. 일부 구현예에서, 제1 전구체를 제공하는 단계, 이어서 제2 전구체를 제공하는 단계, 및 이어서 반응물을 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
본 개시의 일부 구현예에서, 제2 전구체, 이어서 제1 전구체, 및 이어서 반응물을 제공하기 위해 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144) 내의 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍될 수 있다. 일부 구현예에서, 제2 전구체를 제공하는 단계, 이어서 제1 전구체를 제공하는 단계, 및 이어서 반응물을 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
본 개시의 일부 구현예에서, 제1 전구체, 이어서 반응물, 및 이어서 제2 전구체를 제공하기 위해 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144) 내의 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍될 수 있다. 일부 구현예에서, 제1 전구체를 제공하는 단계, 이어서 반응물을 제공하는 단계, 및 이어서 제2 전구체를 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
본 개시의 일부 구현예에서, 반응물, 이어서 제1 전구체, 이어서 제2 전구체, 및 이어서 반응물을 제공하기 위해 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144) 내의 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍될 수 있다. 일부 구현예에서, 반응물을 제공하는 단계, 이어서 제1 전구체를 제공하는 단계, 이어서 제2 전구체를 제공하는 단계, 및 이어서 반응물을 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
본 개시의 일부 구현예에서, 반응물, 이어서 제1 전구체, 이어서 반응물, 및 이어서 제2 전구체를 제공하기 위해 전구체 분배 시스템 및 제거 시스템을 활성화시키는 것에 의해 순차 제어기(142) 상에서 실행되는 경우, 메모리(144) 내의 프로그램은 침윤성 재료(106)의 침윤을 실행하도록 프로그래밍될 수 있다. 일부 구현예에서, 반응물을 제공하는 단계, 이어서 제1 전구체를 제공하는 단계, 이어서 반응물을 제공하는 단계, 및 이어서 제2 전구체를 제공하는 단계의 프로그램 순서는 1회 이상 반복될 수 있다. 일부 구현예에서, 프로그램 순서의 각 단계는, 진공 펌프(138)를 활용하여 반응 챔버(12)를 배기하고 선택적으로 공급원 용기(116)로부터 퍼지 가스를 흐르게 함으로써 과량의 전구체 및 부산물을 반응 챔버로부터 제거하는 퍼지 사이클이 따를 수 있다.
위에 설명된 본 발명의 예시적 구현예는 본 발명의 구현예의 예시일 뿐이기 때문에 이들 구현예는 첨부된 청구범위 및 그의 법적 균등물에 의해 정의되는 본 발명의 범주를 제한하지 않는다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 도시되고 기재된 것 외에도, 기재된 요소들의 선택적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (23)

  1. 기판 처리 장치로서,
    기판 상에 레지스트를 코팅하기 위한 레지스트 코팅 장치, 및/또는 기판 상의 레지스트를 현상하기 위한 현상 처리 장치를 포함하는 습식 처리 스테이션;
    추가 처리 스테이션; 및,
    상기 기판을 상기 습식 및/또는 추가 처리 스테이션으로 이동시키고 상기 기판 처리 장치의 안 및/또는 바깥 방향으로 기판을 이동시키기 위한 기판 핸들러를 포함하되, 상기 추가 처리 스테이션은 침윤 장치(상기 침윤 장치는,
    침윤성 재료를 갖는 기판을 적어도 하나 유지하기 위한 기판 홀더를 구비한 반응 챔버;
    제1 기상 전구체를 상기 반응 챔버에 제공하고 상기 반응 챔버로부터 제거하기 위해 하나 이상의 반응 챔버 밸브를 포함하는 전구체 분배 및 제거 시스템; 및
    상기 기판 상의 상기 침윤성 재료를 침윤시키도록 상기 반응 챔버에서 제1 기간 동안 상기 제1 전구체를 제공하기 위해 상기 전구체 분배 및 제거 시스템을 활성화시키는 단계를 포함하는 침윤 사이클에 의해 실행되는 경우, 상기 기판 상에서 상기 침윤성 재료의 침윤을 실행하기 위한 프로그램을 구비한 메모리를 포함하며 상기 전구체 분배 및 제거 시스템에 작동 가능하게 연결되는 순차 제어기를 포함함)를 포함하는 기판 처리 장치.
  2. 제1항에 있어서, 상기 메모리에 저장된 상기 침윤 사이클은, 상기 반응 챔버로부터 제2 기간 동안에 상기 제1 전구체의 일부를 제거하기 위해 상기 전구체 분배 및 제거 시스템을 활성화시키는 단계를 추가로 포함하는 기판 처리 장치.
  3. 제2항에 있어서, 상기 전구체 분배 및 제거 시스템은, 제2 기상 전구체를 상기 반응 챔버에 제공하고 상기 반응 챔버로부터 제거하기 위한 하나 이상의 반응 챔버 밸브를 포함하고, 상기 메모리에 저장된 상기 침윤 사이클은, 상기 침윤성 재료 또는 상기 제1 전구체와 상기 제2 전구체의 반응의 반응 생성물로 상기 기판 상의 상기 침윤성 재료를 침윤시키도록 상기 반응 챔버에서 제3 기간 동안에 상기 제2 전구체를 제공하기 위해 상기 전구체 분배 및 제거 시스템을 활성화시키는 단계를 추가로 포함하는 기판 처리 장치.
  4. 제3항에 있어서, 상기 메모리 내에 저장된 상기 침윤 사이클은, 제4 기간 동안 상기 반응 챔버로부터 상기 제2 전구체의 일부를 제거하기 위해 상기 전구체 분배 및 제거 시스템을 활성화시키는 단계와 상기 침윤 사이클을 1 내지 60, 바람직하게는 1 내지 10, 가장 바람직하게는 1 내지 3회를 반복하는 단계를 추가로 포함하는 기판 처리 장치.
  5. 제3항에 있어서, 상기 메모리 내에 저장된 상기 침윤 사이클은 상기 제3 기간보다 긴 상기 제1 기간을 갖는 기판 처리 장치.
  6. 제3항에 있어서, 상기 메모리 내에 저장된 상기 침윤 사이클은 상기 제1 기간보다 긴 상기 제3 기간을 갖는 기판 처리 장치.
  7. 제1항에 있어서, 상기 메모리 내에 저장된 상기 침윤 사이클은, 상기 제3 기간의 0.1 내지 10,000, 바람직하게 1 내지 1,000, 가장 바람직하게는 5 내지 100 배인 상기 제1 기간을 갖는 기판 처리 장치.
  8. 제1항에 있어서, 상기 추가 처리 스테이션은, 상기 침윤성 재료 내에 금속을 침윤시키도록 구성되고 배열되는 기판 처리 장치.
  9. 제1항에 있어서, 상기 추가 처리 스테이션의 전구체 분배 및 제거 시스템은, 상기 반응 챔버 내에 금속 할라이드를 제공하도록 구성되고 배열되는 기판 처리 장치.
  10. 제1항에 있어서, 상기 추가 처리 스테이션의 전구체 분배 및 제거 시스템은, 상기 반응 챔버 내에 마그네슘 및/또는 칼슘을 포함하는 전구체를 제공하도록 구성되고 배열되는 기판 처리 장치.
  11. 제1항에 있어서, 상기 추가 처리 스테이션의 전구체 분배 및 제거 시스템은, 상기 반응 챔버 내에 알루미늄(Al), 하프늄(Hf), 갈륨(Ga), 게르마늄(Ge), 지르코늄(Zr), 인듐(In), 리튬(Li), 텔루륨(Te), 안티몬(Sb), 및 주석(Sn)을 포함하는 군으로부터 하나의 금속을 포함하는 전구체를 제공하도록 구성되고 배열되는 기판 처리 장치.
  12. 제1항에 있어서, 상기 추가 처리 스테이션의 전구체 분배 및 제거 시스템은, 상기 반응 챔버 내에 SnI4 또는 SnCl4을 포함하는 전구체를 제공하도록 구성되고 배열되는 기판 처리 장치.
  13. 제1항에 있어서, 상기 침윤 장치의 전구체 분배 및 제거 시스템은, 상기 반응 챔버 내에 금속 알킬아미드 전구체를 포함하는 전구체를 제공하도록 구성되고 배열되는 기판 처리 장치.
  14. 제1항에 있어서, 상기 추가 처리 스테이션의 전구체 분배 및 제거 시스템은, 상기 반응 챔버 내에 트리메틸 알루미늄(TMA), 트리에틸알루미늄(TEA), 및 디메틸알루미늄하이드라이드(DMAH), 테트라에틸주석, 테트라메틸주석 또는 주석아세틸아세토네이트를 포함하는 전구체를 제공하도록 구성되고 배열되는 기판 처리 장치.
  15. 제1항에 있어서, 상기 추가 처리 스테이션의 전구체 분배 및 제거 시스템은, 상기 반응 챔버 내에 산화제를 포함하는 전구체를 제공하도록 구성되고 배열되는 기판 처리 장치.
  16. 제1항에 있어서, 상기 추가 처리 스테이션은 실리콘을 침윤시키도록 구성되고 배열되는 기판 처리 장치.
  17. 제1항에 있어서, 상기 추가 처리 스테이션은 상기 반응 챔버의 온도를 20 내지 450°C의 값으로 제어하도록 구성되고 배열되는 기판 처리 장치.
  18. 제1항에 있어서, 상기 추가 처리 스테이션은 상기 반응 챔버의 압력을 0.001 내지 1,000, 바람직하게는 0.1 내지 500, 보다 바람직하게는 1 내지 100 토르의 값으로 제어하도록 구성되고 배열되는 기판 처리 장치.
  19. 제1항에 있어서, 상기 습식 처리 스테이션은,
    기판 상에 레지스트를 코팅하기 위한 레지스트 코팅 장치를 포함하는 제1 습식 처리 스테이션; 및,
    상기 레지스트를 현상하기 위한 현상 처리 장치를 포함하는 제2 습식 처리 스테이션을 포함하는 기판 처리 장치.
  20. 제1항에 있어서, 상기 습식 처리 스테이션은, 상기 기판을 회전시키기 위한 회전식 기판 테이블, 및 상기 기판의 표면에 액체를 제공하기 위한 액체 디스펜서를 포함하는 기판 처리 장치.
  21. 제1항에 있어서, 상기 침윤성 재료는 패터닝된 레지스트층을 포함하고, 상기 기판 핸들러는 상기 기판을 상기 습식 처리 스테이션 내의 상기 현상 처리 장치에서 상기 상기 추가 처리 스테이션으로 이동시키도록 구성되고 배열되어 상기 패터닝된 레지스트를 침윤시키는 기판 처리 장치.
  22. 제1항에 있어서, 상기 침윤성 재료는 평평한 레지스트층을 포함하고, 상기 기판 핸들러는 상기 기판을 상기 습식 처리 스테이션 내의 상기 레지스트 코팅 장치에서 상기 상기 추가 처리 스테이션으로 이동시키도록 구성되고 배열되어 상기 레지스트층을 침윤시키는 기판 처리 장치.
  23. 기판 처리 방법으로서,
    기판을 기판 처리 장치에 제공하는 단계;
    상기 기판 처리 장치의 습식 처리 스테이션 내의 레지스트 코팅 장치로 상기 기판을 기판 핸들러로 이동시키는 단계;
    상기 기판 상에 레지스트층을 코팅하는 단계;
    패터닝을 위한 리소그래피 장치로 상기 코팅된 기판을 상기 기판 핸들러로 이동시키는 단계;
    상기 기판 처리 장치에 의해 패터닝된 레지스트층을 갖는 기판을 상기 리소그래피 장치로부터 수용하는 단계;
    상기 습식 처리 스테이션 내의 현상 처리 장치로 상기 기판을 상기 기판 핸들러로 이동시키는 단계;
    상기 기판 상의 상기 패터닝된 레지스트층을 현상하는 단계;
    추가 처리 스테이션의 기판 테이블로 상기 패터닝된 레지스트층을 갖는 상기 기판을 상기 기판 핸들러로 이동시키는 단계; 및
    상기 반응 챔버에서 제1 기간 동안 제1 기상 전구체를 제공하여 상기 기판 상의 상기 패터닝된 레지스트층을 침윤시키는 단계를 포함하는 기판 처리 방법.
KR1020190098312A 2018-08-23 2019-08-12 기판 처리 장치 및 방법 KR20200023196A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862722045P 2018-08-23 2018-08-23
US62/722,045 2018-08-23

Publications (1)

Publication Number Publication Date
KR20200023196A true KR20200023196A (ko) 2020-03-04

Family

ID=69584055

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190098312A KR20200023196A (ko) 2018-08-23 2019-08-12 기판 처리 장치 및 방법

Country Status (5)

Country Link
US (1) US20200064737A1 (ko)
JP (1) JP2020074354A (ko)
KR (1) KR20200023196A (ko)
CN (1) CN110858554A (ko)
TW (1) TWI827645B (ko)

Families Citing this family (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5925494A (en) * 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
KR101112545B1 (ko) * 2004-12-16 2012-03-13 스미또모 가가꾸 가부시끼가이샤 감광성 수지 및 상기 감광성 수지로 이루어진 패턴을포함하는 박막 표시판 및 그 제조 방법
US20060211259A1 (en) * 2005-03-21 2006-09-21 Maes Jan W Silicon oxide cap over high dielectric constant films
WO2009123122A1 (ja) * 2008-03-31 2009-10-08 大日本印刷株式会社 塩基発生剤、感光性樹脂組成物、当該感光性樹脂組成物からなるパターン形成用材料、当該感光性樹脂組成物を用いたパターン形成方法並びに物品
KR101030531B1 (ko) * 2008-12-24 2011-04-25 엘지디스플레이 주식회사 전계방출장치, 전계방출 표시장치 및 그 제조 방법
US8796483B2 (en) * 2010-04-01 2014-08-05 President And Fellows Of Harvard College Cyclic metal amides and vapor deposition using them
US9487600B2 (en) * 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
JP5989673B2 (ja) * 2011-02-01 2016-09-07 エーエスエムエル ネザーランズ ビー.ブイ. 基板テーブル、リソグラフィ装置、およびデバイス製造方法
US8980418B2 (en) * 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
US9684234B2 (en) * 2011-03-24 2017-06-20 Uchicago Argonne, Llc Sequential infiltration synthesis for enhancing multiple-patterning lithography
US9721754B2 (en) * 2011-04-26 2017-08-01 Carl Zeiss Smt Gmbh Method and apparatus for processing a substrate with a focused particle beam
JP6136613B2 (ja) * 2012-09-21 2017-05-31 東京エレクトロン株式会社 プラズマ処理方法
TWI628305B (zh) * 2012-10-23 2018-07-01 應用材料股份有限公司 包含具高鋁含量的鋁合金之膜的沉積
JP5871844B2 (ja) * 2013-03-06 2016-03-01 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP5926753B2 (ja) * 2014-02-26 2016-05-25 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US10276355B2 (en) * 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9673042B2 (en) * 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
GB201604050D0 (en) * 2016-03-09 2016-04-20 Isis Innovation A/M/X material production process with alkylamine
US9892913B2 (en) * 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) * 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2019199682A1 (en) * 2018-04-09 2019-10-17 Lam Research Corporation Modifying hydrophobicity of a wafer surface using an organosilicon precursor
JP2019204815A (ja) * 2018-05-21 2019-11-28 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20220041112A (ko) * 2019-08-09 2022-03-31 가부시키가이샤 고준도가가쿠 겐큐쇼 비스(에틸시클로펜타디에닐)주석, 화학 증착용 원료, 주석을 함유하는 박막의 제조 방법 및 주석 산화물 박막의 제조 방법

Also Published As

Publication number Publication date
TWI827645B (zh) 2024-01-01
JP2020074354A (ja) 2020-05-14
TW202009609A (zh) 2020-03-01
CN110858554A (zh) 2020-03-03
US20200064737A1 (en) 2020-02-27

Similar Documents

Publication Publication Date Title
KR20200023196A (ko) 기판 처리 장치 및 방법
US20210033977A1 (en) Substrate processing apparatus and method
JP7420744B2 (ja) 浸透性材料に浸透させる浸透装置および方法
US20210247693A1 (en) Method of forming an enhanced unexposed photoresist layer
TWI795094B (zh) 處理設備、圖案化結構及其製造方法
US9911595B1 (en) Selective growth of silicon nitride
US20220342301A1 (en) Photoresist with multiple patterning radiation-absorbing elements and/or vertical composition gradient
TW201629253A (zh) 含矽膜之原子層沉積中的選擇性抑制
TW201833992A (zh) 以原子層沉積間隙填充間隔件遮罩進行的自對準多重圖案化製程流程
US20180308687A1 (en) Euv photopatterning and selective deposition for negative pattern mask
JP2018152560A (ja) 触媒制御を用いる酸化シリコン上への窒化シリコンの選択的堆積
TWI798371B (zh) 使用水解之選擇性沉積
TW201717252A (zh) 使半導體裝置中的膜密集化之方法
US20240134274A1 (en) Halogen-and aliphatic-containing organotin photoresists and methods thereof
KR20230146029A (ko) 양자 효율 포토레지스트 및 이의 방법
WO2023115023A1 (en) Development strategy for high-absorbing metal-containing photoresists

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal