TWI798371B - 使用水解之選擇性沉積 - Google Patents

使用水解之選擇性沉積 Download PDF

Info

Publication number
TWI798371B
TWI798371B TW108107020A TW108107020A TWI798371B TW I798371 B TWI798371 B TW I798371B TW 108107020 A TW108107020 A TW 108107020A TW 108107020 A TW108107020 A TW 108107020A TW I798371 B TWI798371 B TW I798371B
Authority
TW
Taiwan
Prior art keywords
substrate
dielectric material
metal surface
blocking agent
metal oxide
Prior art date
Application number
TW108107020A
Other languages
English (en)
Other versions
TW201938832A (zh
Inventor
丹尼斯 豪斯曼恩
保羅 C 勒邁爾
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201938832A publication Critical patent/TW201938832A/zh
Application granted granted Critical
Publication of TWI798371B publication Critical patent/TWI798371B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Catalysts (AREA)

Abstract

提供相對於介電質表面在金屬表面上選擇性沉積金屬氧化物的方法及儀器。選擇性沉積藉由將金屬及介電質表面暴露於能夠與金屬形成可水解鍵結而與介電質形成不可水解鍵結的一阻斷劑,以及將該表面浸漬於水中以將該可水解鍵結斷裂並在介電質表面上留下阻斷的表面,接著相對於該介電質表面選擇性地在金屬表面上沉積金屬氧化物來達成。藉由濕式或乾式技術以沉積阻斷劑,且該阻斷劑可包含烷基胺基矽烷或是烷基氯矽烷作為例子。

Description

使用水解之選擇性沉積
本發明與選擇性沉積相關。
半導體裝置製造包含微處理器、邏輯、及記憶體裝置的製造。這樣的裝置可使用各種技術加以製造,包含諸如雙圖案微影或四圖案微影的自對準圖案化、填隙製程、以及其他技術。某些製程涉及基板的形成,該基板包含氧化矽及諸如銅的金屬。用於形成這樣的基板的傳統技術可能是有限的。
提供處理基板的方法。一實施態樣涉及一種在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,該方法包含:(a)提供包含該介電材料及該裸露之金屬表面的該基板;(b)在沉積該金屬氧化物前,將該基板暴露於一阻斷劑,以將該阻斷劑非選擇性地吸附在該介電材料及該裸露之金屬表面兩者之上;(c)在將該基板暴露於該阻斷劑之後,且在沉積該金屬氧化物之前,將該阻斷劑從該裸露之金屬表面選擇性地移除;以及(d)在該基板上相對於該介電材料而在該裸露之金屬表面上選擇性地沉積該金屬氧化物。
在各種實施例中,選擇性地移除阻斷劑的步驟藉由將該基板與水接觸加以執行。在某些實施例中,選擇性地移除阻斷劑的步驟藉由將該基板浸漬於水中加以執行。
在各種實施例中,該阻斷劑係矽醯胺。
在各種實施例中,該阻斷劑係烷基氯矽烷。該烷基氯矽烷的一個示例具有Clx Si([CH3 (CH2 )y ])(4-x) 的化學結構,其中x為介於且包含1到3的一整數,而y為大於或等於1的 一整數。
在各種實施例中,該阻斷劑係烷基胺基矽烷。該烷基胺基矽烷的一個示例具有[(CH3 )2 N]x Si([CH3 (CH2 )y ])(4-x) 的化學結構,其中x為介於且包含1到3的一整數,而y為大於或等於1的一整數。
在各種實施例中,該阻斷劑在一非質子極性溶劑中提供。
該阻斷劑可與該裸露之金屬表面形成可水解鍵結,但不與該介電材料形成可水解鍵結。
可藉由將該基板浸漬於阻斷劑的濕式溶液中,以將該基板暴露於該阻斷劑。在某些實施例中,在介於大約25°C到大約100°C之間的溫度將該基板暴露於該阻斷劑。
在某些實施例中,在介於大約60°C到大約100°C之間的溫度將該基板暴露於該阻斷劑。
在各種實施例中,藉由引入汽相的該阻斷劑以將該基板暴露於該阻斷劑。在某些實施例中,在介於大約100°C到大約300°C之間的溫度將該基板暴露於該阻斷劑。
在某些實施例中,在介於大約200°C到大約250°C之間的溫度將該基板暴露於該阻斷劑。
在各種實施例中,將該基板暴露於該阻斷劑持續介於大約10秒到大約60秒之間的持續時間。
在各種實施例中,從該基板選擇性移除該阻斷劑的步驟係在室溫執行。
從該基板選擇性移除該阻斷劑的步驟可將介於該阻斷劑及該裸露之金屬表面間的鍵結選擇性地水解。
在各種實施例中,所沉積之金屬氧化物係氧化鋁。
在各種實施例中,相對於該介電材料,使用原子層沉積將該金屬氧化物選擇性沉積於該裸露之金屬表面上。
在各種實施例中,該裸露之金屬表面包括選自由鎢、鈦、及鋁所組成之群組中的一金屬。
在各種實施例中,該介電材料包括矽。在某些實施例中,該介電材料係選自由氧化矽、氮化矽、碳摻雜氧化矽所組成之群組。
在各種實施例中,該基板已被圖案化。
在各種實施例中,該水解步驟導致該裸露之金屬表面具有有氫基終端的以及/或者有氫氧基終端的表面。
另一實施態樣涉及一種在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,該方法包含:(a)提供包含該介電材料及該裸露之金屬表面的該基板;(b)在沉積該金屬氧化物前,將該基板暴露於一阻斷劑,以將該阻斷劑非選擇性地吸附在該介電材料及該裸露之金屬表面兩者之上;(c)在將該基板暴露於該阻斷劑之後,且在沉積該金屬氧化物之前,將該基板與水接觸,以將該阻斷劑從該裸露之金屬表面選擇性地移除;以及(d)在該基板上相對於該介電材料而在該裸露之金屬表面上選擇性地沉積該金屬氧化物。
這些及其他實施態樣於下文參照附圖詳加描述。
在後續描述中,闡述大量特定細節以提供對本實施例的完整理解。所揭露的實施例可在不具這些特定細節的某些或全部的情況下實行。在其他情況下,已知製程的操作沒有被詳細描述以免不必要地模糊所揭露之實施例。儘管所揭露之實施例將與特定實施例結合描述,將可理解的是這並不意欲限制所揭露之實施例。
半導體生產製程通常涉及以圖案化方案沉積與蝕刻各種材料,以形成特定類型的半導體裝置。例如藉由在銅表面存在的情況下以原子層沉積(ALD)將氧化鋁沉積在氧化矽上,可達成在金屬表面存在的情況下將金屬氧化物薄膜選擇性沉積於介電質表面上。然而,在氧化矽表面的存在下以ALD將一介電質選擇性地沉積在金屬表面的相反製程卻面臨挑戰──特別是,缺乏選擇性抑制劑分子使技術方法替換成其他遮罩技術而非使用選擇性沉積技術。舉例而言,在裸露的氧化矽表面的存在下以ALD將氧化鋁選擇性沉積於銅表面上可能是有挑戰性的。
此處所提供的係相對於介電質表面將材料選擇性沉積於金屬表面上的方法。某些所揭露之實施例涉及利用金屬─氧─矽(M‑O‑Si)鍵結相對於矽─氧─矽(Si‑O‑Si)鍵結在水中的反應性,以達成將阻斷劑(blocking reagent)從金屬表面選擇性移除而阻斷劑仍留在介電質表面上。某些所揭露的實施例可特別適合相對於介電質表面將介電質選擇性沉積在金屬上。
舉例而言,所揭露之實施例可涉及將氧化矽(例如SiO2 )、氮化矽(SiN)、碳摻雜氮化矽、氧化鋁(Al2 O3 )、碳氧化矽、氮碳化矽、以及氮碳氧化矽沉積在諸如金屬表面或金屬合金表面的含金屬表面上。金屬的例子包含:鎢、鈦、鋁、以及銅。碳氧化矽的一個非限制性例子為具有化學式SiOx Cy 的碳氧化矽,其中2x+4y=4(x及y不必為整數)。氮碳化矽的一個非限制性例子為具有化學式SiCa Nb 的氮碳化矽,其中4a+3b=4(a及b不必為整數)。氮碳氧化矽的一個非限制性例子為具有化學式SiOi Cj Nk 的氮碳氧化矽,其中2i+4j+3k=4(i、j、及k不必為整數)。
此處所描述的技術可涉及熱原子層沉積(ALD)以及/或者電漿輔助原子層沉積(PEALD)。也就是說,在各種實施例中,執行在含矽前驅物與氧化劑之間的反應以形成氧化矽。
ALD係使用連續的自限制反應以沉積材料薄膜的技術。一般來說,一個ALD循環包含操作,將至少一反應物運送及吸附至基板表面,接著將所吸附的反應物與一或多種反應物發生反應,以形成薄膜的部分層。舉個例子,一個氧化矽沉積循環可包含下列操作步驟:(ⅰ)含矽前驅物的運送/吸附、(ⅱ)從腔室將該含矽前驅物吹掃的步驟、(ⅲ)含氧反應物或含氧氣體的運送、以及(ⅳ)從腔室將該含氧反應物吹掃的步驟。在PEALD製程中,含氧反應物的運送可伴隨著在含氧反應物環境下之電漿的生成。
不若化學氣相沉積(CVD)技術,ALD製程使用表面介導的沉積反應以在逐層基礎上沉積薄膜。在ALD製程的一個例子中,包含一群表面活性部位的基板表面暴露於諸如含矽前驅物的第一前驅物的氣相分布,該前驅物以一劑量供應至容納基板的一腔室中。此第一前驅物的分子吸附在基板表面上,所述分子包含第一前驅物的化學性吸附物種以及/或者物理吸附分子。應了解的是,當化合物如此處所描述地吸附於基板表面上時,該吸附層可包含該化合物以及該化合物的衍生物。舉例而言,含矽前驅物的吸附層可包含該含矽前驅物以及含矽前驅物的衍生物。在第一前驅物的施劑之後,接著抽空該腔室以移除大部分或全部之維持在氣相的第一前驅物,使得主要是或只有吸附的物種留下。在某些實施方式中,該腔室可能沒有完全抽空。舉例而言,可抽空該腔室使得氣相的第一前驅物的分壓顯著地低以減緩反應。將諸如含氧反應物的第二反應物導入該腔室,使得這些分子的某些與吸附在表面上的第一前驅物反應。在某些製程中,該第二反應物立即與吸附的第一前驅物反應。腔室可接著再次抽空,以移除未鍵結的第二反應物分子。如上所述,在某些實施例中,該腔室可能沒有完全抽空。額外ALD循環可用以建構薄膜厚度。
在特定實施例中,ALD第一前驅物劑量使基板表面部分地飽和。在某些實施例中,ALD循環的施劑階段在前驅物接觸到基板之前結束以使基板表面均勻地飽和。一般來說,前驅物流在此刻關閉或轉移,並只有吹掃氣流動。藉由在這亞飽和狀態下操作,ALD製程降低循環時間並增加產量。然而,由於前驅物的吸附並非受飽和限制,因此所吸附的前驅物濃度可在整個基板表面有些微變化。ALD製程在亞飽合狀態下操作的例子提供於:於2013年10月23日提交之美國臨時專利申請案第14/061,587號(現今的美國專利第9,355,839號),其發明名稱為「SUB‑SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION」,其全部內容通過引用於此納入。
如所述,在某些實施方式中,ALD方法包含電漿活化。如此處所述,此處所描述之ALD方法及儀器可為保形薄膜沉積(CFD)方法,其大致描述於:於2011年4月11日提交之美國臨時專利申請案第13/084,399號(現今的美國專利第8,728,956號),其發明名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」;以及於2011年4月11日提交之美國臨時專利申請案第13/084,305號,其發明名稱為「SILICON NITRIDE FILMS AND METHODS」,其全部內容通過引用於此納入。
使用兩步驟製程可達成相對於另一表面選擇性地遮蔽一個表面。第一操作步驟為一抑制劑分子的非選擇性吸附。第二操作步驟為藉由使用純水或是另一水解劑的濕蝕刻,將該抑制劑分子從一個表面選擇性地移除,該水解劑可將使抑制劑分子附著於一個表面而非其他表面的化學鍵水解。可執行此方法,使得一個表面為基於矽的而其他的則為基於金屬的。使用於淨抑制之後的沉積方法可為金屬氧化物薄膜的原子層沉積。
在金屬表面的存在下將金屬氧化物薄膜選擇性沉積於介電質表面上的方法,可以在鎢或銅或其他金屬表面的存在下使用在諸如氧化矽的介電質上的ALD氧化鋁沉積來執行。然而,由於在一般介電質表面上發現的氫氧基終端,用於選擇性沉積以在介電質上沉積的抑制劑可能對金屬表面不具有選擇性。
某些所揭露的實施例涉及相反狀況:在介電質表面存在下,在鎢或銅或其他金屬表面上選擇性沉積ALD氧化鋁。
某些ALD介電質沉積涉及金屬有機前驅物(例如:三甲基鋁、或四(二甲胺基)鋯)以及水,以沉積薄膜。ALD循環可包含將表面暴露於該金屬有機前驅物,在該表面上,自然氫氧基或氧化物物種與該前驅物反應,以形成表面─氧─金屬鍵結,以將金屬有機配位基之一取代成胺類(例如HN(CH3 )2 )或是碳氫化合物(例如,CH4 )。用以阻斷在表面上之沉積的一種方法係消除這些反應性氫氧基/氧化物(表面‑OH或表面=O),並以碳氫基終端(表面-Cx Hy )將它們取代。諸如矽醯胺(例如Si(CH3 )3 (NMe2 ))的試劑容易進行此反應但不具有選擇性。
這種不具選擇性的問題的一個解法係藉由諸如在本案其他地方所描述之還原反應以消除在金屬表面的氧化物。此方法涉及在介電質上而不在金屬上的選擇性沉積。
為了達成在金屬上且不在介電質上的選擇性沉積,一實施例涉及以諸如矽醯胺的烷基化試劑對介電質及金屬表面二者非選擇性地產生反應,接著使用水來選擇性地移除該試劑以形成金屬表面。水容易將抑制劑與金屬表面間的鍵結水解(例如:M‑O‑Si(CH3 )3 +H2 O ‑‑> M‑OH +HO‑Si(CH3 )3 )但是並不容易在介電質表面上產生同樣的反應。
在金屬表面且不在介電質表面上的金屬氧化物的選擇性成長可藉由使用特定所揭露之實施例將介電質表面選擇性地阻斷而加以執行。
圖1提供製程流程圖,描繪了根據特定所揭露之實施例執行之方法中的操作步驟。可能適用於特定所揭露之實施例的處理溫度取決於用於各操作步驟的技術。此處所描述之某些操作步驟的某些實施例可在介於約70°C到約200°C之間的溫度、或在介於約100°C到約150°C之間的溫度執行。
在操作步驟101中,提供具有一裸露之金屬表面及一裸露之介電質表面的一基板。該基板可為一矽晶圓,例如:200‑mm晶圓、300‑mm晶圓、或450‑mm晶圓,包含有一或多層諸如介電質、導電層、或半導體材料的材料沉積於其上的晶圓。下層的非限制性示例包含介電層及導電層,材料包含但不限於:氧化矽、氮化矽、碳化矽、金屬氧化物、金屬氮化物、金屬碳化物、以及金屬。在某些實施例中該基板可能已被圖案化。在某些實施例中該基板可能未被圖案化。在某些實施例中,該基板包含一介電材料及以金屬填充(諸如互連導線)之介層窗。
在各種實施例中,介電材料可為諸如氧化矽、氮化矽、碳摻雜氧化矽、或其組合的一含矽材料。在各種實施例中,該介電材料可為一超低k(ULK)介電質。在某些實施例中,該介電材料可為一半導體材料而非介電材料。在各種實施例中,該介電層包含有氫氧基終端的氧化矽。
在各種實施例中,該裸露之金屬表面包含下列金屬或其合金中的一或多種:鎢、鋁、鈦、鈦鋁、及其組合。
在某些實施例中,該基板以介層窗圖案化,該介層窗以諸如銅的金屬加以填充。在某些實施例中,以氧化銅、釕以及/或者氧化釕填充該介層窗。在某些實施例中,利用暴露於諸如氫或聯氨的一還原劑中來將銅還原,以準備用於後續操作。
圖2A係具有一裸露之氧化矽表面201及一裸露之金屬表面203的示例基板的示意圖。
回到圖1,在操作步驟103中,將基板暴露於一阻斷劑,無論其表面化學性質或形貌如何,該阻斷劑吸附或沉積於金屬及介電質表面二者上。在某些實施例中,阻斷劑吸附並沉積在金屬及介電質表面上。
圖2B係具有一裸露之氧化矽表面201及一裸露之金屬表面203的示例基板的示意圖,阻斷劑吸附於該等表面上以形成阻斷表面205,該阻斷表面205具有在該介電質上有Si‑O‑Si鍵結的吸附的阻斷劑207以及在該金屬上有M‑O‑Si鍵結的吸附阻斷劑209。
將可理解的是,儘管在此圖中所描述的是一平坦表面,特定所揭露之實施例可應用於具有包含各種尺寸及高度之特徵部之形貌的表面。特徵部示例包含:空孔、溝槽、介層窗、及其他特徵部。將該基板暴露於與裸露之金屬表面及介電質材料反應的阻斷劑。該阻斷劑可為一或多種諸如矽醯胺的烷基化試劑。該阻斷劑對介電質及金屬表面等都具有反應性,但是可利用水解作用從金屬表面移除,卻不能利用水解作用從介電質表面移除。
該阻斷劑可以是任何能夠與金屬表面形成可水解鍵結並且與介電質表面形成不可水解鍵結的化學化合物。在各種實施例中,阻斷劑與金屬形成可水解鍵結。在各種實施例中,阻斷劑能夠與金屬形成金屬─氧─矽鍵結且能夠與含矽介電材料形成矽─氧─矽鍵結。
阻斷劑的例子包含烷基胺基矽烷、以及烷基氯矽烷。因為由後續水解作用所產生的副產物及在金屬上的選擇性沉積所產生的副產物,相較於涉及可形成可能會損壞基板表面的氯化氫(HCl)的烷基氯矽烷之水解作用的副產物而言,可能比較不會損壞半導體基板的表面,所以烷基胺基矽烷可用於某些實施例中。
合適的烷基胺基矽烷可具有[(CH3 )2 N]x Si([CH3 (CH2 )y ])(4-x) 的一般化學式,其中x為介於且包含1到3的一整數,而y為大於或等於1的任意整數。
合適的烷基氯矽烷可具有Clx Si([CH3 (CH2 )y ])(4-x) 的一般化學式,其中x為介於且包含1到3的一整數,而y為大於或等於1的任意整數。
可用使用的一個烷基氯矽烷例子為二甲基二氯矽烷。
Figure 02_image001
暴露於阻斷劑的步驟可使用下列技術中的其一加以執行:一濕式技術及一乾式技術。一濕式技術可涉及將該基板浸漬於具有該阻斷劑於其中的溶液中,以將該基板的表面暴露於該阻斷劑,或是使用旋轉塗佈機以將具有該阻斷劑的溶液發送至該基板的表面。
在烷基胺基矽烷以及烷基氯矽烷用作阻斷劑之情況下,具有該阻斷劑的溶液為非水極性溶劑。在各種實施例中,該溶劑可為非質子極性溶劑。可能合適的烷基胺基矽烷溶劑的例子包含:二甲基甲醯胺(DMF)、乙醚、以及乙腈:
Figure 02_image003
Figure 02_image005
烷基氯矽烷溶劑的例子包含丙二醇甲醚(PGME):
Figure 02_image007
其他可能溶劑包含醇類。
乾式技術可涉及以汽相或氣相準備阻斷劑以及將汽相或氣相的阻斷劑運送至容納該基板的腔室,以將基板表面暴露於阻斷劑。
可用於此操作步驟的合適溫度取決於所選的技術且受該阻斷劑的熱分解所限。針對濕式技術,該溶液可被加熱或冷卻至大約室溫、或約25°C、或至少約室溫、或至少約60°C、或介於約25°C到約100°C之間、或介於約60°C到約100°C之間的溫度 。針對乾式技術,該基板可持於溫度設定在比該阻斷劑發生熱分解之溫度更高的底座。在各種實施例中,該溫度可介於約100°C到約300°C之間、或介於約200°C到約250°C之間。
操作步驟103可執行約10秒到約60秒的持續時間。
回到圖1,在操作步驟105中,可選用性地吹掃容納該基板的腔室以移除過剩的阻斷劑。吹掃該腔室的步驟可涉及將吹掃氣體(purge gas)或拂掠氣體(sweep gas)流入,該氣體可能是用於其他操作步驟的載氣或是不同的氣體。在某些實施例中,吹掃步驟可涉及抽空該腔室。然而,在某些實施例中,該腔室沒有被抽空。吹掃氣體的例子包含但不限於:氬、氮、氫、及氦。在某些實施例中,操作步驟105可包含用於抽空處理腔室的一或多個抽空次階段。或者,將了解的是,在某些實施例中可忽略操作步驟105。操作步驟105可具有諸如約0秒到約60秒之間的任何合適之持續時間,例如約0.01秒。在某些實施例中,提升一或多種吹掃氣體的流率可降低操作步驟105的持續時間。舉例而言,吹掃氣體流率可根據各種反應物的熱力學特性、以及/或者處理腔室的幾何特徵、以及/或者處理腔室的管系而調節,以調整操作步驟105的持續時間。在一非限制性的示例中,吹掃階段的持續時間可藉由調制吹掃氣體流率來調節。這可減少沉積循環時間,如此可提升基板產量。在吹掃之後,該阻斷劑仍吸附在金屬及介電質表面上。
在操作步驟107中,將阻斷劑從金屬表面選擇性地移除。在某些實施例中,此操作步驟涉及將基板與水接觸。在各種實施例中,將基板與水接觸的步驟可藉由將基板浸漬於水中、或是將水運送到基板表面加以執行。在各種實施例中,水解該基板表面以相對於介電材料將阻斷劑從金屬表面選擇性移除,使得阻斷劑留在介電材料上而金屬表面則是裸露的。水解步驟可藉由將基板浸漬於水的容器中加以執行。操作步驟107可在室溫執行。水解步驟並不使用水蒸氣或氣相技術加以執行。在某些實施例中,使用水性水解技術。
水解步驟可在室溫或任何高於室溫的溫度執行。在室溫將該基板浸漬的步驟把該阻斷劑水解,從而使在金屬表面上的金屬─氧─矽鍵結在幾秒內斷裂。由於該矽─氧─矽鍵結不可水解且不與水反應,因此阻斷劑保留在介電質表面上,從而產生一基板,該基板係在介電材料上相對於裸露之金屬表面選擇性地具有阻斷劑。
不受特定理論所限,據信浸漬於水中導致有氫基終端或有氫氧基終端的金屬表面,該金屬表面易受到後續沉積影響,而保留在介電質表面上的該阻斷劑則不易受後續沉積影響。
在操作步驟111,金屬氧化物(諸如氧化鋁)可相對於介電質表面選擇性地沉積在裸露之金屬表面上。舉例而言,可執行ALD,藉此將沉積製程前驅物選擇性地吸附在裸露之金屬表面上,並且氧化劑被用以在該裸露之金屬表面上選擇性地形成金屬氧化物。舉例而言,以ALD沉積的氧化鋁可涉及使用諸如三甲鋁的一沉積製程前驅物。
圖2C係一示例基板的示意圖,在此圖中水被引至具有吸附的阻斷表面205的基板上,該吸附的阻斷表面205上具有在介電質上有Si‑O‑Si鍵結之吸附阻斷劑207、以及在金屬上有M‑O‑Si鍵結之吸附阻斷劑209。
圖2D係一示例基板的示意圖,在此圖中氫使得在該金屬上之阻斷劑209及該金屬表面之間的可水解鍵結斷裂,產生氫氧基終端的金屬表面211。
圖2E係一示例基板的示意圖,在此圖中藉由水解作用將吸附的阻斷劑從金屬表面移除,同時吸附阻斷劑207保留在介電質表面上,產生氫氧基終端的金屬表面213,該氫氧基終端的金屬表面213已預備用於例如金屬氧化物材料的沉積。
圖3提供根據特定所揭露之實施例所執行之各種操作步驟的時序示意圖。儘管描繪於圖3中的製程300僅包含二個沉積循環399A及399B,要理解的是,在特定實施例中可執行多於二個沉積循環(且在某些情況下,僅有一個沉積循環)。
圖3顯示針對各種製程參數在一示例沉積製程300中之多個階段,該各種製程參數諸如:作為載氣以及/或者吹掃氣體的氬氣流、阻斷劑氣流、水流(在此處用於浸漬製程而非氣體製程)、金屬前驅物氣流、含氧反應物的暴露、以及還原劑氣流。儘管該示例描述使用一含氧反應物的ALD作為沉積技術,且電漿並沒有在圖3中描述,然而某些實施例中,含氧電漿可用以沉積金屬氧化物,使得當含氧反應物流動時產生電漿。在圖3中的線段表示打開或關閉流量的時候、以及執行水浸漬步驟的時候。各種所揭露之實施例取決於製程參數,該製程參數包含但不限於:惰性氣體、阻斷劑、以及反應物種的流率;作為載氣之氬氣、金屬前驅物、以及含氧氣體的流率;基板溫度、以及處理腔室壓力。
如圖3中所描繪的,在選擇性沉積之前,執行一阻斷劑暴露階段303,藉以運送阻斷劑,而同時金屬前驅物及含氧反應物流係關閉的。在此例中,可使用氬氣運送該阻斷劑。沒有使用水──將可理解的是,如圖3中所述的水指的是將基板浸漬於水中,而非將水以氣相或汽相引入。這可聯結到圖1的操作步驟103。
執行水浸漬步驟307,藉此將該基板浸漬於水的水浴中。將可理解的是,此步驟可在幾秒內執行且可在室溫執行。在某些情況下,這可在更高的溫度執行,但在室溫將晶圓浸漬於水中可在幾秒內達成快速水解反應而基本上不會延長整體製程時間。儘管氬被描繪為處在「開啟」的階段,然而該基板可被取出腔室外以進行浸漬,然後接著放回腔室中。這可聯結到圖1的操作步驟107。
沉積循環399A可聯結到圖1的操作步驟111。在某些實施例中,沉積循環399A包含如圖3中所描繪的循環沉積製程。沉積循環399A包含:金屬前驅物暴露階段311-1A、吹掃階段311-2A、含氧反應物暴露階段311-3A、以及吹掃階段311-4A。在含矽前驅物暴露階段311-1A期間,可開啟氬氣流以輔助金屬前驅物的運送,同時阻斷劑流是關閉的、金屬前驅物流是開啟的、以及含氧反應物流是關閉的。在吹掃階段311-2A中,除了用作為吹掃氣體的氬氣流以外,所有氣體流及電漿為關閉的。在含氧反應物暴露階段311-3A中,氬氣流可持續為開啟狀態,而阻斷劑流為關閉的、金屬前驅物氣流為關閉的、以及含氧反應物流為開啟的。在吹掃階段311-4A中,開啟氬流以用作吹掃氣體,同時阻斷劑流為關閉的、金屬前驅物氣流為關閉的、以及含氧反應物流為關閉的。在此例中,判定金屬氧化物並沒有沉積到所欲之厚度,因此該等操作步驟在沉積循環399B中重複。沉積循環399B包含:金屬前驅物暴露階段311-1B,其中僅有氬氣流及金屬前驅物氣流為開啟,而阻斷劑氣流以及含氧反應物氣流為關閉;吹掃階段311-2B,其中僅有氬作為吹掃氣體而流動;含氧反應物暴露階段311-3B,其中僅有氬及含氧反應物為開啟,而阻斷劑以及金屬前驅物氣流為關閉;以及吹掃階段311-4B,其中氬作為吹掃氣體而流動。儀器
圖4描繪原子層沉積(ALD)處理站400的一實施例示意圖。該原子層沉積(ALD)處理站400具有用以維持低壓環境的一處理腔室體402。這樣的工具可用以運輸阻斷劑以及在將具有阻斷劑之基板在水中浸漬之後沉積金屬氧化薄膜。
複數個ALD處理站400可包含在一共用低壓處理工具環境中。舉例來說,圖5描繪多站點處理工具500的實施例。在某些實施例中,ALD處理站400的一或多個硬體參數(包含下方詳細描述的那些)可以一或多個電腦控制器440以程式的方式調節。
ALD處理站400與反應物運送系統401a以流體的方式相連,以運送處理氣體到分配噴淋頭406。反應物運送系統401a包含一混合容器404,用以混合以及/或者調節處理氣體以輸送至噴淋頭406,處理氣體諸如:阻斷劑氣體、金屬前驅物氣體、或是含氧氣體。一或多個混合容器進氣閥420可控制處理氣體到混合容器404的導入。
舉一個例子,圖4的實施例包含用以把將要供應至混合容器404的液態反應物汽化的一汽化點403。在某些實施例中,汽化點403可以是一加熱汽化器。由這樣的汽化器所產生的飽和反應物蒸氣可能在下游運送管道中凝結。不相容的氣體暴露於凝結的反應物可能製造小顆粒。這些小顆粒可能阻塞管道、阻礙氣閥運作、汙染基板等。某些對付這些問題的手段涉及吹掃以及/或者排空該運送管道以移除殘留反應物。然而,吹掃該運送管道可增加處理站循環時間、降低處理站產量。因此,在某些實施例中,汽化點403下游的運送管道可為伴熱的。在某些例子中,混合容器404亦可為伴熱的。在一非限制性的例子中,汽化點403下游的管道具有從大約100℃延展到在混合容器404約150℃的升高之溫度分布。
在某些實施例中,液體前驅物或液體反應物可在一液體注射器被汽化。舉例而言,液體注射器可將液體反應物的脈衝注射進混合容器上游的載氣氣流中。在一實施例中,液體注射器可藉由從較高壓到較低壓來急驟蒸發該液體以將該反應物汽化。在另一示例中,液體注射器可將該液體霧化成接著在加熱運送管中汽化的分散微滴。較小的液滴可比較大的液滴更快汽化,減少液體注射到完全汽化之間的延遲。更快的汽化可縮短汽化點403下游之管道的長度。在一種情況中,液體注射器可直接安裝於混合容器404。在另一種情況中,液體注射器可直接安裝於噴淋頭406。
在某些實施例中,可提供在汽化點403上游的液體流量控制器(LFC),用以控制用於汽化及運送至處理站400之液體的質量流量。舉例而言,該LFC可包含放置在LFC下游的一熱質量流量計(MFM)。該LFC的一柱塞閥可接著被調節以對回饋控制信號響應,該回饋控制信號由與MFM電性通訊之比例積分微分(PID)控制器所提供。然而,這可能要花一秒或更多時間使用回饋控制來穩定液體流量。這可能拉長用於對液體反應物施劑的時間。因此,在某些實施例中,LFC可在回饋控制模式與直接控制模式之間動態地變換。在某些實施例中,這可藉由使LFC及PID控制器感測管失效加以執行。
噴淋頭406朝基板412分配處理氣體。在圖4中所顯示的實施例中,該基板412放置於噴淋頭406下方,並顯示為擱置在一底座408上。噴淋頭406可具有任何合適的形狀,並且可具有任何合適的端口數量及佈置,用以分配處理氣體到基板412。
在某些實施例中,底座408可上升或下降以將基板412暴露於介在基板412與噴淋頭406之間的容積內。將了解的是,在某些實施例中,底座高度可藉由合適的電腦控制器440以程式的方式調節。
在另一種情況中,調節底座408的高度可允許在有電漿被點燃之實施例的製程中電漿激發循環的期間改變電漿密度。在製程階段的結尾,在另一基板轉移階段的期間可降低底座408,以允許將基板412從底座408移除。
在某些實施例中,底座408可透過加熱器410受溫度控制。在某些實施例中,底座408可被加熱至大約70℃到大約200℃之間,或者大約100℃到大約120℃之間的溫度。
再者,在某些實施例中,處理站400的壓力控制可由 蝶形閥418所提供。如圖4的實施例中所示,蝶形閥418調節由下游真空泵(未示於圖中)所提供之真空。然而,在某些實施例中,處理站400的壓力控制亦可藉由改變引入處理站400之一或多種氣體的流量加以調節。
在某些實施例中,噴淋頭406的位置可相對於底座408加以調節,以改變介於基板412與噴淋頭406之間的容積。再者,將可理解的是,底座408以及/或者噴淋頭406的垂直位置可在本揭露範疇內藉由任何合適的機制加以改變。在某些實施例中,底座408可包含用以旋轉一方向之基板412的一旋轉軸。將可了解的是,在某些實施例中,這些調節示例中的一或多個示例可藉由一或多個合適的電腦控制器440以程式的方式執行。
在如上所述使用電漿的某些實施例中,噴淋頭406以及底座408係與射頻(RF)電源供應器414以及匹配網路416電性連接,以為電漿供電。在某些實施例中,該電漿能量可藉由控制處理站壓力、氣體濃度、RF源功率、RF源頻率、以及電漿功率脈衝時間中的一或多個加以控制。舉例而言,RF電源供應器414及匹配網路416可在任何合適功率操作以形成具有所需之自由基種類組成的一電漿。合適功率的例子係大約150瓦特到大約6000瓦特。可在相對於氮化矽而言在氧化矽上選擇性沉積氧化矽之前,在氮化矽表面處理期間使用電漿。RF電源供應器414可提供任何合適頻率的RF功率。在某些實施例中,RF電源供應器414可設置以彼此獨立地控制高頻及低頻RF功率源。低頻RF頻率的例子可包含但不限於介在0 kHz到500 kHz之間的頻率。高頻RF頻率的例子可包含但不限於介在1.8 MHz到2.45 GHz之間、或高於13.56 MHz、或高於27 MHz、或高於40 MHz、或高於60 MHz的頻率。將可理解的是,可離散地或連續地調變任何合適的參數,以提供用於表面反應的電漿能量。
在某些實施例中,可藉由一或多個電漿監測器以就地監測電漿。在一種情況中,可藉由一或多個電壓、電流感測器(例如VI探測器)以監測電漿功率。在另一種情況中,電漿密度以及/或者處理氣體濃度可藉由一或多種光發射光譜學(OES)感測器加以量測。在某些實施例中,一或多種電漿參數可基於來自這樣的就地電漿監測的測定,以程式的方式調節。舉例而言,一OES感測器可用於提供電漿功率之程式控制的回饋迴圈。將可了解的是,在某些實施例中,其他監測器可用以監測電漿及其他製成特性。這樣的監測器可包含但不限於:紅外線(IR)監測器、聲波監測器、以及壓力傳感器。
在某些實施例中,可透過輸入/輸出控制(IOC)連續指令提供針對控制器440的指令。在一示例中,用以設定製程階段條件的指令可包含在製程配方的對應配方階段中。在某些情況下,製程配方階段可接續地安排,使製程階段的所有指令因而在該製程階段的當下執行。在某些實施例中,用於設定一或多個反應器參數的指令可包含在一配方階段中。舉例而言,第一配方階段可包含:用於設定諸如烷基胺基矽烷或烷基氯矽烷的阻斷劑氣體之流率的指令、用於設定載氣(諸如氬)之流率的指令、以及用於第一配方階段的時間延遲指令。在某些實施例中,在第一配方階段之後將基板從腔室移除,以在為了第二配方階段而將基板放回腔室中之前,將該基板浸漬於水浴中並且將阻斷劑從金屬表面選擇性地移除。一第二配方階段可包含:用於設定惰性氣體以及/或者金屬前驅物氣體之流率的指令、用於設定載氣(諸如氬)之流率的指令、以及用於第二配方階段的時間延遲指令。一第三後續配方階段可包含:用於調制或停止惰性氣體以及/或者反應物氣體之流率的指令、以及用於調制載氣或吹掃氣體之流率的指令、以及用於第三配方階段的時間延遲指令。一第四配方階段可包含:用於調制含氧氣體之流率的指令、用於調制載氣或吹掃氣體之流率的指令、以及用於第四配方階段的時間延遲指令。一第五後續配方階段可包含:用於調制或停止惰性氣體以及/或者反應物氣體之流率的指令、以及用於調制載氣或吹掃氣體之流率的指令、以及用於第五配方階段的時間延遲指令。將可瞭解的是,這些配方階段可在所揭露之實施例的範疇內以任何合適的方式更加細分以及/或者迭代。在某些實施例中,控制器440可包含於下方所描述關於圖5的系統控制器550的任何特徵。
如上所述,一或多個處理站可包含在一多站處理工具中。圖5顯示多站處理工具500的實施例示意圖,該多站處理工具500具有一入站負載鎖502以及一出站負載鎖504,其中的一個或兩個可包含一遠端電漿源。處在大氣壓下的一機器人506被設置以經由大氣端口510將晶圓從藉由晶圓盒(pod)508裝載的匣盒(cassette)移動到入站負載鎖502中。藉由該機器人506將晶圓放置在入站負載鎖502中的底座512上,關閉大氣端口,並且抽空負載鎖。再者,舉例而言,該晶圓亦可在入站負載鎖502中被加熱,以移除濕氣及吸附的氣體。接著,對到處理腔室514的腔室輸送端口516被開啟,並且另一機器人(未示於圖中)將該晶圓放在反應器中,在顯示於處理反應器中的第一站的底座上。儘管描繪於圖5中的實施例包含負載鎖,將可瞭解的是,在某些實施例中,可提供晶圓直接進入處理站中。
所描繪之處理腔室514包含四個處理站,在顯示於圖5中的實施例中以1到4編號。各站點具有加熱底座(站點1的顯示於518)以及氣體管線進口。將可瞭解的是,在某些實施例中,各處理站可具有不同或多個目的。舉例而言,在某些實施例中,一處理站可在ALD處理模式與電漿輔助ALD處理模式之間變換。此外或替代地,在某些實施例中,處理腔室514可包含一或多個匹配配對之ALD和電漿輔助ALD處理站。儘管所描述之處理腔室514包含四個站點,然而將可理解的是,根據本揭露之處理腔室可具有任何合適數量的站點。舉例來說,在某些實施例中,處理腔室可具有五個或更多個站點,同時,在其他實施例中,處理腔室可具有三個或更少個站點。
圖5描繪用於將晶圓在處理腔室514內傳送晶圓的晶圓搬運系統的實施例。在某些實施例中,晶圓搬運系統可將晶圓在各種處理站之間以及/或者在處理站與負載鎖之間傳送。將可瞭解的是,可使用任何合適的晶圓搬運系統。非限制性的例子包含晶圓轉盤以及晶圓搬運機器人。圖5亦描繪的用以控制處理工具500之製程條件及硬體狀態的系統控制器550的實施例。系統控制器550可包含:一或多個記憶體裝置556、一或多個大容量儲存裝置554、以及一或多個處理器552。處理器552可包含:一CPD或電腦、類比以及/或者數位輸入/輸出連接部、步進馬達控制板等。
在某些實施例中,系統控制器550控制處理工具500的所有活動。系統控制器550執行儲存於大容量儲存裝置554中、加載到記憶體裝置556中、且在處理器552上執行的系統控制軟體558。另外,控制邏輯可為在控制器550中加以硬編碼。特定應用積體電路、可程式邏輯裝置(例如現場可程式閘陣列或FPGAs)等等可用於這些目的。在後續討論中,無論是使用「軟體」或「編碼」,可以功能相當的硬編碼邏輯取代使用。系統控制軟體558可包含用於控制時間、氣體的混合、氣體流率、腔室以及/或者站點的壓力、腔室以及/或者站點的溫度、晶圓溫度、目標功率層級、RF功率位準、基板底座、夾頭以及/或者基座位置、以及由處理工具500所執行之特定製程的其他參數的指令。系統控制軟體558可以任何合適的方式設置。舉例而言,可編寫各種處理工具元件子程式或是控制物件以控制處理工具元件的操作,該控制處理工具元件用以進行各種處理工具製程。系統控制軟體558可以任何合適的電腦可讀程式語言來編碼。
在某些實施例中,系統控制軟體558可包含用於控制上述之各種參數的輸入/輸出控制(IOC)序列指令。儲存在與系統控制器550相聯之記憶體裝置556以及/或者大容量儲存裝置554的其他電腦軟體以及/或者程式可用於某些實施例中。針對此目的之程式或程式片段的例子包含:基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式可包含針對處理工具元件的程式碼,該處理工具元件用於將基板裝載到底座518上以及控制基板與處理工具500的其他部分之間的間隔。
一處理氣體控制程式可包含用於控制氣體組成(舉例來說如此處所描述的:諸如烷基胺基矽烷或烷基氯矽烷的阻斷劑氣體、金屬前驅物氣體、以及含氧氣體、載氣以及/或者吹掃氣體)與流率;以及在沉積之前選用性地用於將氣體流入一或多個處理站中,以穩定處理站內氣壓的編碼。一壓力控制程式可包含用以,例如,藉由管理處理站之排氣系統中的節流閥、流入處理站之氣流等控制處理站中之氣壓的編碼。
一加熱器控制程式可包含用以控制到達加熱單元之電流的編碼,該加熱單元係用以加熱該基板。或者,該加熱器控制程式可控制傳熱氣體(諸如氦)到該基板的運送。
一電漿控制程式可包含根據此處之實施例,用以設定施加到一或多個處理站中之處理電極的RF功率位準的編碼。
一壓力控制程式可包含根據此處之實施例,用於維持在反應腔室中的壓力的編碼。
在某些實施例中,可能有相聯於系統控制器550的使用者介面。該使用者介面可包含:顯示螢幕、儀器以及/或者製程條件的圖形軟體顯示器、以及諸如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。
在某些實施例中,由系統控制器550所調節之參數可與製程條件相關。非限制性示例包含處理氣體組成及流率、溫度、壓力、電漿組成(諸如RF偏壓位準)等。這些參數可以配方的形式提供給使用者,該配方可利用使用者介面輸入。
用以監測製程的信號可從各種處理工具感測器由系統控制器550之類比以及/或者數位的輸入連接部所提供。用以控制該製程的信號可在處理工具500的類比以及數位輸出連接部上輸出。可受監測之處理工具感測器的非限制性示例包含:質量流量控制器、壓力感測器(諸如壓力計)、熱電偶等等。恰當地編程的回饋及控制演算法可與來自這些感測器的資料一同使用以維持製程條件。
系統控制器550可提供用於實行上述沉積製程的程式指令。該程式指令可控制各種製程參數,例如DC功率位準、RF偏壓位準、壓力、溫度等等。該指令可控制參數以根據此處所描述之各種實施例操作薄膜堆疊的就地沉積。
系統控制器550一般來說將包含一或多個記憶體裝置以及一或多個處理器,設置以執行指令,使得儀器將根據所揭露之實施例執行一方法。用以根據所揭露之實施例控制製程操作之含有指令的機器可讀媒介可與系統控制器550耦合。
在某些實施方式中,系統控制器550係一系統的一部份,該系統可能係上述例子中的一部份。這樣的系統可包含半導體處理設備,包含:一或多個處理工具、一或多個腔室、一或多個處理平台、以及/或者特定處理元件(晶圓底座、氣流系統等)。這些系統可與用以在半導體晶圓或基板的處理前、處理期間、以及處理後之控制操作的電子設備整合。該電子設備可稱為「控制器」,可控制一或多個系統的各種元件或子部分。取決於製程條件以及/或者系統類型,系統控制器550可以程式控制任何此處所揭露之製程,包含:處理氣體的運送、溫度設定(例如加熱以及/或者冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生設定、RF匹配電路設定、頻率設定、流率設定、流體運送設定、定位及操作設定、將晶圓傳送進以及傳送出一工具以及其他傳送工具以及/或者與特定系統連接或介面的負載鎖。
廣泛地說,系統控制器550可定義為具有各種積體電路、邏輯、記憶體、以及/或者軟體的電子儀器,其可接收指令、發出指令、控制操作、啟動清潔操作、啟動末端量測等。該積體電路可包含:以儲存了程式指令之韌體為形式的晶片、數位信號處理器(DSPs)、定義為特定應用積體電路(ASICs)的晶片、以及/或者一或多個執行程式指令(例如軟體)的微控制器或微處理器。程式指令可為以各種獨立設定(或程式檔)的形式與系統控制器550通訊的指令,定義了用於對晶圓或在晶圓上或在一系統執行特定製程的操作參數。在某些實施例中,該操作參數可為由製程工程師所定義之配方的一部分,以在晶圓的一或多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、以及/或者晶粒的製造期間完成一或多個處理步驟。
在某些實施例中,系統控制器550可為一電腦的一部分或是與之耦合,該電腦與系統整合、耦合、以其他方式與系統聯網、或者其組合。舉例而言,系統控制器550可能在「雲端」或是工廠主機電腦的一部分或全部,該控制器可允許遠端存取晶圓製程。該電腦可能可以遠端存取系統以監測當前製造作業進程、查看過去製造作業之歷史紀錄、查看多個製造作業的趨勢與性能矩陣、修改現行製程參數、設定製程步驟以接續現行製程、或是開始新製程。在某些例子中,遠端電腦(例如一伺服器)可透過聯網將製程配方提供至系統,該聯網可包含區域網路或網際網路。該遠端電腦可包含可進入或設計參數以及/或者設定的使用者介面,這些設定接著從遠端電腦連接至系統。在某些例子中,系統控制器550接收到資料形式的指令,其指定了在一或多個操作步驟期間將被執行的各處理步驟的參數。應了解的是,該參數可特定於將執行之製程類型以及工具類型,系統控制器550被配置以控制該類型工具或與該工具介接。因此如上所述,系統控制器550可能是分散式的,諸如經由包含一或多個彼此聯網且朝向共同目的工作的個別控制器,正如此處所描述的製程與控制。用於這樣的目的的分散式控制器的一個例子會是與位於遠端的一或多個積體電路(諸如在平台水平或是遠端電腦的一部分)連結的腔室上的一或多個積體電路,其兩者結合以控制該腔室的製程。
不受限地,系統的例子可包含:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE)腔室或模組、離子佈植腔室或模組、軌道腔室或模組,或可能相關聯或用於生產或製造半導體晶圓的任何其他半導體處理系統。
如上所述,根據由工具所執行之一或多個製程步驟,系統控制器550可能與其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗連工具、相鄰工具、遍布工廠的工具、主電腦、其他控制器、或是用於將晶圓容器帶離或帶往半導體製造工廠中工具位置以及/或者裝載端口的材料輸送的工具中的一或多個連結。
用以執行此處所揭露之方法的恰當儀器在下列文中更進一步描述及討論:於2011年4月11日提交之美國專利申請案第13/084,399號(現為美國專利第8,728,956號),其發明名稱為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」;以及於2011年4月11日提交之美國專利申請案第13/084,305號,其發明名稱為「SILICON NITRIDE FILMS AND METHODS」,上述內容各以其整體併入本文。
此處所描述之儀器/製程可與微影圖案化工具或製程結合使用,例如,用於半導體裝置、顯示器、LEDs、太陽光電板等等的製造或生產。一般來說,儘管不必要,這樣的工具/製程將在共通製造設備中一起使用或實施。薄膜的微影圖案化一般包含下列操作的某些或全部,各操作步驟可用多種可能的工具啟動:(1)使用旋塗或噴塗工具在工件(即基板)上塗佈光阻;(2)使用加熱板或爐或UV固化工具將光阻固化;(3)使用諸如晶圓步進機的工具將光阻暴露於可見光或UV光或X光;(4)使用諸如濕式清洗台的工具將光阻顯影故得以選擇性地移除光阻從而使之圖案化;(5)透過使用乾式或電漿輔助蝕刻工具將光阻圖案轉移於一下層薄膜或工件中;以及(6)使用諸如如RF或微波電漿光阻剝離機的工具移除光阻。實驗 實驗1
執行一實驗以比較在基板的阻斷與未阻斷的鎢及氧化矽表面上的沉積成長。使用ALD沉積氧化鋯在未暴露於二甲基二氯矽烷的鎢表面上,並且所沉積的循環次數以及厚度以實心點與實線繪於圖6A中。以ALD沉積氧化鋯在未暴露於二甲基二氯矽烷之基於原矽酸四乙酯的氧化矽表面上,並且所沉積的循環次數以及厚度以空心點與虛線繪於圖6A中。如所示,兩種薄膜的成長趨勢相似且兩者以大約相同的速率增長。
接著,首先將鎢基板暴露於二甲基二氯矽烷,且接著以相同於圖6A中的ALD循環將氧化鋯沉積在已暴露於二甲基二氯矽烷之鎢基板上。所沉積的循環次數以及厚度以實心點與實線繪於圖6B中。同樣地,首先將氧化矽基板暴露於二甲基二氯矽烷,且接著以相同於圖6A中的ALD循環將氧化鋯沉積在已暴露於二甲基二氯矽烷之氧化矽基板上。所沉積的循環次數以及厚度以空心點與虛線繪於圖6B中。如所示,兩種薄膜的成長彼此相似,且兩種表面以大約相同的速率增長,但是相較於圖6A而言,兩個表面的整體沉積厚度顯著地較小──實際上,在前10個ALD循環中,若在已暴露於二甲基二氯矽烷之任一基板上有沉積的話,也是相當少的。甚至在30個ALD循環,氧化鋯厚度維持少於10。這些結果表明二甲基二氯矽烷同樣抑制了在鎢及氧化矽表面兩者上的沉積。
具有裸露之鎢表面的基板暴露於二甲基二氯矽烷,然後在如圖6A中地使用ALD循環沉積氧化鋯以前浸漬於水中。所沉積的循環次數以及厚度以實心點與實線繪於圖7中。此外,具有裸露之氧化矽表面的基板暴露於二甲基二氯矽烷,然後在如圖6A中地使用ALD循環沉積氧化鋯以前浸漬於水中。所沉積的循環次數以及厚度以空心點與虛線繪於圖7中。如圖7中所示,氧化鋯沉積的起始在鎢表面上比在氧化矽表面上更快地發生。再者,氧化鋯沉積的成長與在圖6A中的相似,這表明了浸漬於水浴中的步驟將抑制劑從鎢表面移除,使得氧化鋯可被沉積。同時,氧化矽的成長與在圖6B中的相似,這表明了浸漬於水浴中的步驟並沒有將抑制劑從氧化矽表面移除,且因此該氧化矽表面仍被阻斷而在該表面上有較少的氧化鋯被沉積。這些結果指出使用二甲基二氯矽烷作為阻斷劑使得相對於氧化矽表面在鎢表面上選擇性沉積的可行性。結論
儘管前述之實施例已為了清楚理解的目的以某些細節描述,在所附之請求項的範疇內可實行的某些改變及調整將會是顯而易見的。應注意的是,有許多實行本實施例之製程、系統及儀器的替代方式。因此,本實施例應視為說明性而非限制性的,且該實施例並不受此處所提供之細節所限。
1‧‧‧處理站 2‧‧‧處理站 3‧‧‧處理站 4‧‧‧處理站 201‧‧‧氧化矽表面 203‧‧‧金屬表面 205‧‧‧阻斷表面 207‧‧‧吸附的阻斷劑(Si‑O‑Si鍵結) 209‧‧‧吸附的阻斷劑(M‑O‑Si鍵結) 211‧‧‧氫氧基終端的金屬表面 213‧‧‧氫氧基終端的金屬表面 300‧‧‧製程 303‧‧‧阻斷劑暴露階段 307‧‧‧水浸漬步驟 311-1A‧‧‧金屬前驅物暴露階段 311-2A‧‧‧吹掃階段 311-3A‧‧‧含氧反應物暴露階段 311-4A‧‧‧吹掃階段 311-1B‧‧‧金屬前驅物暴露階段 311-2B‧‧‧吹掃階段 311-3B‧‧‧含氧反應物暴露階段 311-4B‧‧‧吹掃階段 399A‧‧‧沉積循環 399B‧‧‧沉積循環 400‧‧‧原子層沉積(ALD)處理站 401a‧‧‧反應物運送系統 402‧‧‧處理腔室體 403‧‧‧汽化點 404‧‧‧混合容器 406‧‧‧分配噴淋頭 408‧‧‧底座 410‧‧‧加熱器 412‧‧‧基板 414‧‧‧射頻(RF)電源供應器 416‧‧‧匹配網路 418‧‧‧蝶形閥 420‧‧‧進氣閥 440‧‧‧電腦控制器 500‧‧‧多站處理工具 502‧‧‧入站負載鎖 504‧‧‧出站負載鎖 506‧‧‧機器人 508‧‧‧晶圓盒 510‧‧‧大氣端口 512‧‧‧底座 514‧‧‧處理腔室 516‧‧‧腔室輸送端口 518‧‧‧底座 550‧‧‧系統控制器 552‧‧‧處理器 554‧‧‧大容量儲存裝置 556‧‧‧記憶體裝置 558‧‧‧系統控制軟體
圖1係描繪用於執行一方法之操作步驟的製程流程圖。
圖2A-2E係根據特定所揭露之實施例,相對於介電質表面在裸露之金屬表面上選擇性沉積材料之機制的一個例子的示意圖。
圖3係一時序示意圖,顯示根據特定所揭露之實施例的方法中的循環的例子。
圖4係用以執行所揭露之實施例的一個示例處理腔室之示意圖。
圖5係用以執行所揭露之實施例的一個示例處理工具之示意圖。
圖6A係描繪用特定次數之ALD循環以在鎢表面及氧化矽表面上進行沉積製程而沉積之薄膜厚度的圖。
圖6B係描繪用特定次數之ALD循環以在已暴露於二甲基二氯矽烷之鎢表面及氧化矽表面上進行沉積製程而沉積之薄膜厚度的圖。
圖7係描繪用特定次數之ALD循環以根據某些所揭露之實施例在已暴露於二甲基二氯矽烷且用水洗過之鎢表面及氧化矽表面進行沉積製程而沉積之薄膜厚度的圖。

Claims (26)

  1. 一種在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,該方法包含: (a)提供包括該介電材料及該裸露之金屬表面的該基板; (b)在沉積該金屬氧化物前,將該基板暴露於一阻斷劑,以將該阻斷劑非選擇性地吸附在該介電材料及該裸露之金屬表面兩者之上; (c)在將該基板暴露於該阻斷劑之後,且在沉積該金屬氧化物之前,將該阻斷劑從該裸露之金屬表面選擇性地移除;以及 (d)在該基板上相對於該介電材料而在該裸露之金屬表面上選擇性地沉積該金屬氧化物。
  2. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中選擇性地移除該阻斷劑的步驟藉由將該基板與水接觸加以執行。
  3. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中選擇性地移除該阻斷劑的步驟藉由將該基板浸漬於水中加以執行。
  4. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該阻斷劑係矽醯胺。
  5. 如申請專利範圍第4項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該阻斷劑係烷基氯矽烷。
  6. 如申請專利範圍第5項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該烷基氯矽烷具有Clx Si([CH3 (CH2 )y ])(4-x) 的化學結構,其中x為介於且包含1到3的一整數,而y為大於或等於1的一整數。
  7. 如申請專利範圍第4項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該阻斷劑係烷基胺基矽烷。
  8. 如申請專利範圍第7項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該烷基胺基矽烷具有[(CH3 )2 N]x Si([CH3 (CH2 )y ])(4-x) 的化學結構,其中x為介於且包含1到3的一整數,而y為大於或等於1的一整數。
  9. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該阻斷劑在一非質子極性溶劑中提供。
  10. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該阻斷劑與該裸露之金屬表面形成可水解鍵結,但不與該介電材料形成可水解鍵結。
  11. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中藉由將該基板浸漬於該阻斷劑的濕式溶液中,以將該基板暴露於該阻斷劑。
  12. 如申請專利範圍第11項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中在介於大約25°C到大約100°C之間的溫度將該基板暴露於該阻斷劑。
  13. 如申請專利範圍第12項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中在介於大約60°C到大約100°C之間的溫度將該基板暴露於該阻斷劑。
  14. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中藉由引入汽相的該阻斷劑以將該基板暴露於該阻斷劑。
  15. 如申請專利範圍第14項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中在介於大約100°C到大約300°C之間的溫度將該基板暴露於該阻斷劑。
  16. 如申請專利範圍第15項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中在介於大約200°C到大約250°C之間的溫度將該基板暴露於該阻斷劑。
  17. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該基板暴露於該阻斷劑達介於大約10秒到大約60秒之間的持續時間。
  18. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中選擇性移除該阻斷劑的步驟係在室溫執行。
  19. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中選擇性移除該阻斷劑的步驟將介於該阻斷劑及該裸露之金屬表面間的鍵結選擇性地水解。
  20. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該金屬氧化物係氧化鋁。
  21. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中相對於該介電材料,使用原子層沉積將該金屬氧化物選擇性沉積於該裸露之金屬表面上。
  22. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該裸露之金屬表面包括選自由鎢、鈦、及鋁所組成之群組中的一金屬。
  23. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該介電材料包括矽。
  24. 如申請專利範圍第23項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該介電材料係選自由氧化矽、氮化矽、碳摻雜氧化矽所組成之群組。
  25. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該基板已被圖案化。
  26. 如申請專利範圍第1項之在一基板上相對於一介電材料在一裸露之金屬表面上選擇性沉積金屬氧化物的方法,其中該水解步驟導致該裸露之金屬表面具有氫基終端的以及/或者氫氧基終端的表面。
TW108107020A 2018-03-02 2019-03-04 使用水解之選擇性沉積 TWI798371B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862637995P 2018-03-02 2018-03-02
US62/637,995 2018-03-02

Publications (2)

Publication Number Publication Date
TW201938832A TW201938832A (zh) 2019-10-01
TWI798371B true TWI798371B (zh) 2023-04-11

Family

ID=67805166

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108107020A TWI798371B (zh) 2018-03-02 2019-03-04 使用水解之選擇性沉積

Country Status (5)

Country Link
US (2) US11404275B2 (zh)
KR (1) KR20200118504A (zh)
CN (1) CN112005343A (zh)
TW (1) TWI798371B (zh)
WO (1) WO2019169335A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11404275B2 (en) * 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
KR20230004523A (ko) 2020-04-14 2023-01-06 라시크 아이엔씨. 수소 열화의 억제
US20230002890A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Multiple surface and fluorinated blocking compounds
KR20240034833A (ko) * 2021-07-22 2024-03-14 엔테그리스, 아이엔씨. 웨이퍼 용기 미세환경 내의 오염을 감소시키기 위한 흡착제 및 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1499290A (zh) * 2002-11-05 2004-05-26 国际商业机器公司 用于生产自对准掩模的非平版印刷方法,所生产的制品和用于该制品的组合物
TW201534749A (zh) * 2014-02-04 2015-09-16 Asm Ip Holding Bv 金屬、金屬氧化物與介電質的選擇性沈積
US20170012001A1 (en) * 2009-10-23 2017-01-12 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects
US20170342553A1 (en) * 2016-05-31 2017-11-30 Tokyo Electron Limited Selective deposition with surface treatment
TW201816161A (zh) * 2016-05-06 2018-05-01 應用材料股份有限公司 透過自組裝單層形成而成的選擇性沉積

Family Cites Families (396)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5202272A (en) 1991-03-25 1993-04-13 International Business Machines Corporation Field effect transistor formed with deep-submicron gate
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
DE69329536T2 (de) 1992-03-02 2001-06-07 Matsushita Electric Industrial Co., Ltd. Chemisch adsorbierter Film und Verfahren zur Herstellung desselben
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5528719A (en) 1993-10-26 1996-06-18 Sumitomo Metal Mining Company Limited Optical fiber guide structure and method of fabricating same
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6225175B1 (en) 1997-06-20 2001-05-01 Texas Instruments Incorporated Process for defining ultra-thin geometries
US5854105A (en) 1997-11-05 1998-12-29 Vanguard International Semiconductor Corporation Method for making dynamic random access memory cells having double-crown stacked capacitors with center posts
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6080676A (en) 1998-09-17 2000-06-27 Advanced Micro Devices, Inc. Device and method for etching spacers formed upon an integrated circuit gate conductor
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
US6403416B1 (en) 1999-01-07 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for making a double-cylinder-capacitor structure for dynamic random access memory (DRAM)
US6423582B1 (en) 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
CA2387373A1 (en) 1999-11-02 2001-06-28 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
EP1277233A2 (en) 2000-04-25 2003-01-22 Tokyo Electron Corporation Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
JP2002353184A (ja) * 2001-05-28 2002-12-06 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
CN1332451C (zh) 2001-09-12 2007-08-15 日本电气株式会社 半导体器件及其制造方法
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6858527B2 (en) 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
JP4410497B2 (ja) 2003-06-17 2010-02-03 東京エレクトロン株式会社 成膜方法
US6846752B2 (en) 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP2005163084A (ja) 2003-12-01 2005-06-23 Mitsui Chemicals Inc シリコン薄膜の製膜方法
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
KR100583105B1 (ko) 2003-12-24 2006-05-23 주식회사 하이닉스반도체 반도체 소자의 화학적 기계적 연마 공정의 종말점 검출 방법
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
JP4517791B2 (ja) 2004-09-10 2010-08-04 凸版印刷株式会社 窒化シリコン膜を用いたパターン形成方法
US7390739B2 (en) 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US7429820B2 (en) 2004-12-07 2008-09-30 Motorola, Inc. Field emission display with electron trajectory field shaping
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7700492B2 (en) 2005-06-22 2010-04-20 Tokyo Electron Limited Plasma etching method and apparatus, control program and computer-readable storage medium storing the control program
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
KR100714305B1 (ko) 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7858525B2 (en) 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20080109218A (ko) * 2007-06-12 2008-12-17 주식회사 하이닉스반도체 듀얼 금속 게이트를 갖는 반도체 소자의 제조방법
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US20090075490A1 (en) 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
WO2009106433A1 (en) 2008-02-27 2009-09-03 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR20090131821A (ko) 2008-06-19 2009-12-30 삼성전자주식회사 미세 패턴 형성 방법
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
JP4759079B2 (ja) * 2008-12-03 2011-08-31 パナソニック株式会社 半導体装置の製造方法
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP4810581B2 (ja) 2009-03-25 2011-11-09 株式会社東芝 不揮発性記憶装置
US8268727B2 (en) 2009-04-20 2012-09-18 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using planarized spacers
KR20100128863A (ko) 2009-05-29 2010-12-08 주식회사 케이씨텍 원자층 증착장치 및 방법
WO2010151856A2 (en) 2009-06-26 2010-12-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
TWI408885B (zh) 2009-07-31 2013-09-11 Orise Technology Co Ltd 具有脈衝寬度調變與脈衝頻率調變自動切換的直流-直流變壓器及使用該變壓器之有機發光二極體顯示器
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP2011192776A (ja) 2010-03-15 2011-09-29 Toshiba Corp 半導体装置の製造方法
JP2011216862A (ja) 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US20110244142A1 (en) 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
EP2553141A4 (en) 2010-04-01 2013-08-21 Air Liquide DEPOSITION OF FILMS CONTAINING METAL NITRIDES USING A COMBINATION OF AMINOUS AND HALOGENATED METAL PRECURSORS
KR20130055606A (ko) 2010-04-15 2013-05-28 노벨러스 시스템즈, 인코포레이티드 가스 및 액체 주입 방법들 및 장치
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
JP2012084707A (ja) 2010-10-13 2012-04-26 Mitsubishi Heavy Ind Ltd 窒化珪素膜形成装置及び方法
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
WO2012061593A2 (en) 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US8288083B2 (en) 2010-11-05 2012-10-16 Micron Technology, Inc. Methods of forming patterned masks
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR101172272B1 (ko) 2010-12-30 2012-08-09 에스케이하이닉스 주식회사 매립비트라인을 구비한 반도체장치 제조 방법
WO2012112553A1 (en) 2011-02-14 2012-08-23 Dionex Corporation Nanometer size chemical modified materials and uses
JP2012169408A (ja) 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
SG11201405416UA (en) 2011-04-07 2014-11-27 Picosun Oy Atomic layer deposition with plasma source
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
KR102084901B1 (ko) 2011-09-23 2020-03-05 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
US20130115778A1 (en) 2011-11-04 2013-05-09 Applied Materials, Inc. Dry Etch Processes
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
JP5991609B2 (ja) 2012-02-29 2016-09-14 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
JP6092902B2 (ja) 2012-03-09 2017-03-08 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 薄膜トランジスター機器上にケイ素含有膜を製造する方法
KR20140143151A (ko) 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9487869B2 (en) 2012-06-01 2016-11-08 Carnegie Mellon University Pattern transfer with self-assembled nanoparticle assemblies
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
CN103515197A (zh) 2012-06-26 2014-01-15 中芯国际集成电路制造(上海)有限公司 自对准多重图形化的掩膜层及其形成方法
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
JP2014038968A (ja) 2012-08-17 2014-02-27 Ps4 Luxco S A R L 半導体装置の製造方法
US20150221865A1 (en) 2012-08-20 2015-08-06 Nec Corporation Variable resistance element and method for producing variable resistance element
US8716136B1 (en) 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
WO2014149281A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
GB2530193B (en) 2013-06-27 2020-01-01 Intel Corp Non-lithographically patterned directed self assembly alignment promotion layers
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
JP6332272B2 (ja) * 2013-08-07 2018-05-30 株式会社ニコン 金属酸化物膜の製造方法、及びトランジスタの製造方法
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9530733B2 (en) * 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
US20150251917A1 (en) 2013-10-21 2015-09-10 Qualcomm Mems Technologies, Inc. Method of patterning pillars
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
WO2015069658A1 (en) 2013-11-08 2015-05-14 Tokyo Electron Limited Method for using post-processing methods for accelerating euv lithography
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US9123776B2 (en) 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
JP2015109192A (ja) 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9076651B1 (en) 2013-12-20 2015-07-07 Intermolecular, Inc. Gate stacks and ohmic contacts for SiC devices
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9425078B2 (en) 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US9660080B2 (en) 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
US9873613B2 (en) 2014-02-28 2018-01-23 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9728406B2 (en) 2014-08-08 2017-08-08 Applied Materials, Inc. Multi materials and selective removal enabled reverse tone process
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
WO2016048336A1 (en) 2014-09-26 2016-03-31 Intel Corporation Selective gate spacers for semiconductor devices
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9791779B2 (en) 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9685332B2 (en) 2014-10-17 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative self-aligned patterning
WO2016065219A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US10082187B2 (en) 2014-12-22 2018-09-25 Ford Global Technologies, Llc Mechanically roughened brake rotors
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
WO2016138284A1 (en) 2015-02-26 2016-09-01 Applied Materials, Inc. Methods for selective dielectric deposition using self-assembled monolayers
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786503B2 (en) 2015-04-08 2017-10-10 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US9911591B2 (en) 2015-05-01 2018-03-06 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
CN114121605A (zh) 2015-06-26 2022-03-01 应用材料公司 氧化硅膜的选择性沉积
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9748110B2 (en) 2015-09-03 2017-08-29 Tokyo Electron Limited Method and system for selective spacer etch for multi-patterning schemes
WO2017048911A1 (en) 2015-09-19 2017-03-23 Applied Materials, Inc. Surface-selective atomic layer deposition using hydrosilylation passivation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
WO2017053296A1 (en) 2015-09-24 2017-03-30 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
EP3359705B1 (en) 2015-10-06 2021-12-08 Versum Materials US, LLC Methods for depositing a conformal metal or metalloid silicon nitride film
US10141417B2 (en) 2015-10-20 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, semiconductor device and the method of forming semiconductor device
KR102603019B1 (ko) 2015-11-20 2023-11-15 도쿄엘렉트론가부시키가이샤 분해능이하 기판 패터닝을 위한 에칭 마스크를 형성하는 방법
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
CN105977141A (zh) 2016-05-10 2016-09-28 上海格易电子有限公司 一种自对准双重图形化的方法
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10580644B2 (en) * 2016-07-11 2020-03-03 Tokyo Electron Limited Method and apparatus for selective film deposition using a cyclic treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10068764B2 (en) 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10256143B2 (en) * 2016-12-14 2019-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement contacts
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10038079B1 (en) * 2017-04-07 2018-07-31 Taiwan Semicondutor Manufacturing Co., Ltd Semiconductor device and manufacturing method thereof
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
WO2018195423A1 (en) 2017-04-20 2018-10-25 Micromaterials Llc Structure with selective barrier layer
TWI816676B (zh) * 2017-06-14 2023-10-01 美商應用材料股份有限公司 用於達成無缺陷自組裝單層的晶圓處理
TWI722301B (zh) 2017-07-18 2021-03-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10950433B2 (en) * 2017-11-18 2021-03-16 Applied Materials, Inc. Methods for enhancing selectivity in SAM-based selective deposition
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US10741392B2 (en) * 2017-11-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US11404275B2 (en) * 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1499290A (zh) * 2002-11-05 2004-05-26 国际商业机器公司 用于生产自对准掩模的非平版印刷方法,所生产的制品和用于该制品的组合物
US20170012001A1 (en) * 2009-10-23 2017-01-12 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects
TW201534749A (zh) * 2014-02-04 2015-09-16 Asm Ip Holding Bv 金屬、金屬氧化物與介電質的選擇性沈積
TW201816161A (zh) * 2016-05-06 2018-05-01 應用材料股份有限公司 透過自組裝單層形成而成的選擇性沉積
US20170342553A1 (en) * 2016-05-31 2017-11-30 Tokyo Electron Limited Selective deposition with surface treatment

Also Published As

Publication number Publication date
US20220319854A1 (en) 2022-10-06
WO2019169335A1 (en) 2019-09-06
KR20200118504A (ko) 2020-10-15
US20210005460A1 (en) 2021-01-07
TW201938832A (zh) 2019-10-01
CN112005343A (zh) 2020-11-27
US11404275B2 (en) 2022-08-02

Similar Documents

Publication Publication Date Title
US10903071B2 (en) Selective deposition of silicon oxide
US10490413B2 (en) Selective growth of silicon nitride
KR102491771B1 (ko) 실리콘 옥사이드의 존재시 실리콘 표면들 상에서 실리콘 옥사이드 또는 실리콘 나이트라이드의 선택적인 성장
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
TWI798371B (zh) 使用水解之選擇性沉積
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US20230227970A1 (en) Removal of tin oxide in chamber cleaning
TW201826344A (zh) 內連線結構用之複合介電界面層