KR20130055606A - 가스 및 액체 주입 방법들 및 장치 - Google Patents

가스 및 액체 주입 방법들 및 장치 Download PDF

Info

Publication number
KR20130055606A
KR20130055606A KR1020127029881A KR20127029881A KR20130055606A KR 20130055606 A KR20130055606 A KR 20130055606A KR 1020127029881 A KR1020127029881 A KR 1020127029881A KR 20127029881 A KR20127029881 A KR 20127029881A KR 20130055606 A KR20130055606 A KR 20130055606A
Authority
KR
South Korea
Prior art keywords
injector
liquid
gas
pulses
processing chamber
Prior art date
Application number
KR1020127029881A
Other languages
English (en)
Inventor
라메시 찬드라세카란
안토니오 자비어
케빈 제닝스
밍 리
헨리 존
데니스 하우스만
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20130055606A publication Critical patent/KR20130055606A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Abstract

프로세싱 챔버를 위한 액체 주입 시스템은 액체 공급부로부터의 액체를 받고 그 액체를 도관 속으로 선택적으로 펄싱하는 액체 주입기를 구비한다. 제어 모듈은 액체 주입기의 펄스들의 수 및 펄스 폭을 선택한다. 가스 공급부는 도관에 가스를 공급한다. 센서는, 각각, 도관 내의 제 1 온도 및 제 1 압력 중 적어도 하나를 감지하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나를 발생시킨다. 제어 모듈은, 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나에 기초하여 선택된 수의 펄스들이 발생하는 것을 확인한다.

Description

가스 및 액체 주입 방법들 및 장치{GAS AND LIQUID INJECTION METHODS AND APPARATUS}
관련 출원에 대한 상호참조
이 출원은 2011년 4월 11일자로 출원된 미합중국 특허 출원 제13/083,827호를 우선권 주장한다. 이 출원은 또한 2010년 4월 15일자로 출원된 미국 가출원 제61/324,710호, 2010년 8월 10일자로 출원된 미국 가출원 제61/372,367호, 2010년 9월 1일자로 출원된 미국 가출원 제61/379,081호, 2010년 11월 29일자로 출원된 미국 가출원 제61/417,807호, 및 2011년 2월 4일자로 출원된 미국 가출원 제61/439,619호를 우선권 주장한다. 위 출원들의 개시내용들은 그 전부가 참조로 본원에 통합된다.
분야
본 개시물은 가스 및 액체 주입 시스템들 및 방법들에 관한 것이고, 더 상세하게는 막 증착 (film deposition) 및 다른 프로세스들을 위한 가스 및 액체 주입 시스템들 및 방법들에 관한 것이다.
본원에서 제공되는 배경 설명은 본 개시물의 관점에서 일반적으로 제시하는 목적을 위한 것이다. 이 배경 부분에서 설명되는 작업 정도의 본 명명된 발명자들의 작업 및 출원 당시의 종래 기술로서 달리 제한을 두지 않은 설명의 양태들은, 본 개시물에 대한 종래 기술로서 명시적으로 인정되는 것도 아니고 암묵적으로 인정되는 것도 아니다.
반도체 프로세싱 동안, 막들은 기판 상에 증착될 필요가 있을 수도 있다. 반도체 프로세싱 시스템은 막을 프로세싱 챔버 내에서 증착한다. 기판이 프로세싱 챔버에 위치된 받침대 (pedestal) 상에 위치된다. 막을 증착하기 위해, 전구체 (precursor) 가스가 미리결정된 기간 동안 프로세싱 챔버에 공급될 수도 있다. 기판을 노출시킨 후, 전구체 가스는 프로세싱 챔버로부터 제거될 수도 있다. 그 다음, 산화 또는 플라즈마 처리가 수행될 수도 있다. 이들 단계들은 기판 상에 막의 두께를 높이도록 다수 회 반복될 수도 있다.
질량 유량 제어기들은 프로세싱 챔버에 공급되는 전구체 가스로 기화되는 전구체 액체의 흐름을 계량하는데 이용될 수도 있다. 일부 막들에 대해, 프로세싱 챔버에서 일단 전구체 가스의 포화가 도달되면, 추가되는 임의의 부가적인 전구체 가스가 낭비된다. 그러므로 전구체 액체 및/또는 가스의 매우 정확한 계량이 생산 비용을 최소화하기 위해 요구된다. 그러나, 정확한 질량 유량 제어기들은 또한 매우 비싸며, 이는 반도체 프로세싱 장비의 단가를 증가시킨다.
프로세싱 챔버를 위한 액체 주입 시스템은 액체 공급부로부터 액체를 받고 그 액체를 도관 속으로 선택적으로 펄싱하는 액체 주입기를 구비한다. 제어 모듈은 액체 주입기의 펄스들의 수 및 펄스 폭을 선택한다. 가스 공급부는 도관 속으로 가스를 공급한다. 센서는, 각각, 도관 내의 제 1 온도 및 제 1 압력 중 적어도 하나를 감지하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나를 발생시킨다. 제어 모듈은, 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나에 기초하여 선택된 수의 펄스들이 발생하는 것을 확인한다.
다른 특징들에서, 가열식 매니폴드는 도관을 둘러싼다. 센서는 가열식 매니폴드에 의해 가열된 도관의 부분들에서 제 1 온도 및 제 1 압력 중 적어도 하나를 감지한다. 제어 모듈은, 센서와 통신하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나에 기초하여 펄스들을 카운팅하는 펄스 카운팅 모듈을 포함한다. 펄스 파라미터 모듈은 펄스들의 수 및 펄스들의 펄스 폭을 선택한다. 비교 모듈은 선택된 펄스들의 수를 카운팅된 펄스 수와 비교한다.
다른 특징들에서, 제어 모듈은 액체 주입기에 출력되는 제어 신호들을 발생시키는 펄스 폭 변조 (PWM) 모듈을 더 포함한다. 센서는 액체 공급부로부터의 액체의 제 2 온도 및 제 2 압력 중 적어도 하나를 감지하고 제 2 온도 신호 및 제 2 압력 신호 중 적어도 하나를 발생시킨다. 펄스 파라미터 모듈이 제 2 온도 신호 및 제 2 압력 신호 중 적어도 하나에 기초하여 펄스들의 수 및 펄스 폭 중 적어도 하나를 결정한다.
다른 특징들에서, 액체 주입기는 자동차-타입 연료 주입기를 포함한다. 액체 주입기는 핀틀 (pintle) 스타일 주입기, 디스크 (disc) 스타일 주입기, 및 볼 시트 (ball seat) 스타일 주입기 중 적어도 하나를 포함한다. 액체 주입기 및 가스 공급부는 도관에 접속되는 피팅에 연결된다. 프로세싱 챔버는 반도체 프로세싱 챔버를 포함한다.
시스템은 액체 주입 시스템을 구비하고 리소그래피 패터닝 도구를 더 포함한다.
프로세싱 챔버를 동작시키기 위한 방법은, 액체 주입기에서 액체 공급부로부터 액체를 받는 단계; 액체 주입기의 펄스들의 수 및 펄스 폭을 선택하는 단계; 액체 주입기를 사용하여 액체를 도관 속에 선택적으로 펄싱하는 단계; 가스 공급부로부터의 가스를 도관 속에 공급하는 단계; 각각, 도관 내의 제 1 온도 및 제 1 압력 중 적어도 하나를 감지하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나를 발생시키는는 단계; 및 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나에 기초하여 선택된 수의 펄스들이 발생하는 것을 확인하는 단계를 포함한다.
이 방법은 도관을 가열하는 단계를 더 포함한다. 이 방법은 가열되는 도관의 부분에서의 제 1 온도 및 제 1 압력 중 적어도 하나를 감지하는 단계를 더 포함한다. 이 방법은 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나에 기초하여 펄스들을 카운팅하는 단계; 및 선택된 수의 펄스들을 펄스들의 카운팅된 수와 비교하는 단계를 더 포함한다.
다른 특징들에서, 이 방법은 액체 주입기에 출력되는 펄스 폭 변조 제어 신호들을 발생시키는 단계를 포함한다. 이 방법은 액체 공급부로부터의 액체의 제 2 온도 및 제 2 압력 중 적어도 하나를 감지하는 단계 및 제 2 온도 신호 및 제 2 압력 신호 중 적어도 하나를 발생시키는 단계를 포함한다. 이 방법은 제 2 온도 신호 및 제 2 압력 신호 중 적어도 하나에 기초하여 펄스들의 수 및 펄스 폭 중 적어도 하나를 결정하는 단계를 포함한다.
다른 특징들에서, 액체 주입기는 자동차-타입 연료 주입기를 포함한다. 액체 주입기는 핀틀 스타일 주입기, 디스크 스타일 주입기, 및 볼 시트 스타일 주입기 중 적어도 하나를 포함한다. 액체 주입기 및 공급부는 도관에 접속되는 피팅에 연결된다. 프로세싱 챔버는 반도체 프로세싱 챔버를 포함한다.
반도체 제조 방법은, 포토레지스트를 기판에 공급하는 단계; 포토레지스트를 광에 노출시키는 단계; 포토레지스트를 패터닝하고 패턴을 기판에 전사하는 단계; 포토레지스트를 기판으로부터 선택적으로 제거하는 단계를, 프로세싱 챔버 속에 기판을 배치하기 전 및 후 중 적어도 하나에, 더 포함한다.
비-일시적 (non-transitory) 컴퓨터 머신 판독가능 매체는 프로세싱 챔버의 제어를 위한 프로그램 명령들을 포함한다. 이 프로그램 명령들은, 액체 공급부로부터 액체를 받는 액체 주입기의 펄스들의 수 및 펄스 폭을 선택하는 것; 액체 주입기를 사용하여 액체를 도관 속에 선택적으로 펄싱하는 것; 가스를 도관 속에 공급하는 것; 각각, 도관 내에서의 제 1 온도 및 제 1 압력 중 적어도 하나를 감지하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나를 발생시키는 것; 및 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나에 기초하여 선택된 수의 펄스들이 발생하는 것을 확인하는 것을 위한 코드를 포함한다.
프로세싱 챔버를 위한 액체 주입 시스템은 가스 공급부로부터의 가스를 받는 유체 통로를 정의하는 매니폴드를 포함한다. 액체 주입기는 액체 공급부로부터 액체를 받는 매니폴드 내에 배치되고 그 액체를 유체 통로 속으로 선택적으로 펄싱한다. 제어 모듈은 액체 주입기의 펄스들의 수 및 펄스 폭을 선택한다. 센서는 매니폴드 내에 배치되고, 유체 통로 내의 제 1 온도 및 제 1 압력 중 적어도 하나를 감지하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나를 발생시킨다. 제어 모듈은, 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나에 기초하여 선택된 수의 펄스들이 발생하는 것을 확인한다.
다른 특징들에서, 매니폴드는 가열식 매니폴드이다. 제어 모듈은, 센서와 통신하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나에 기초하여 펄스들을 카운팅하는 펄스 카운팅 모듈, 펄스들의 수 및 펄스들의 펄스 폭을 선택하는 펄스 파라미터 모듈, 및 선택된 펄스들의 수를 카운팅된 펄스들의 수와 비교하는 비교 모듈을 포함한다.
다른 특징들에서, 제어 모듈은 액체 주입기에 출력되는 제어 신호들을 발생시키는 펄스 폭 변조 (PWM) 모듈을 더 포함한다. 센서는 액체 공급부로부터의 액체의 제 2 온도 및 제 2 압력 중 적어도 하나를 감지하고 제 2 온도 신호 및 제 2 압력 신호 중 적어도 하나를 발생시킨다.
다른 특징들에서, 펄스 파라미터 모듈은 제 2 온도 신호 및 제 2 압력 신호 중 적어도 하나에 기초하여 펄스들의 수 및 펄스 폭 중 적어도 하나를 결정한다. 액체 주입기는 자동차-타입 연료 주입기를 포함한다. 프로세싱 챔버는 반도체 프로세싱 챔버를 포함한다.
다른 특징들에서, 노즐이 주입기로부터 상류에 있는 유체 통로 내에 배치된다. 이 주입기는 유체 통로에 수직으로 배치된다. 액체 주입기는 핀틀 스타일 주입기, 디스크 스타일 주입기, 및 볼 시트 스타일 주입기 중 적어도 하나를 포함한다.
반도체 제조 시스템은 액체 주입 시스템을 포함하고 리소그래피 패터닝 도구를 더 포함한다.
프로세싱 챔버를 동작시키기 위한 방법은, 가스 공급부로부터 가스를 받는 유체 통로를 정의하는 매니폴드 내에 액체 주입기를 배치하는 단계; 액체 주입기의 펄스들의 수 및 펄스 폭을 선택하는 단계; 그 주입기에서 액체 공급부로부터의 액체를 받고 그 액체를 유체 통로에 선택적으로 펄싱하는 단계; 유체 통로 내에서 제 1 온도 및 제 1 압력 중 적어도 하나를 감지하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나를 발생시키는 단계; 및 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나에 기초하여 선택된 수의 펄스들이 발생하는 것을 확인하는 단계를 포함한다.
다른 특징들에서, 이 방법은 매니폴드를 가열하는 단계를 포함한다. 이 방법은 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나에 기초하여 펄스들을 카운팅하는 단계; 및 선택된 펄스들의 수를 카운팅된 펄스들의 수와 비교하는 단계를 포함한다.
다른 특징들에서, 이 방법은 액체 주입기에 출력되는 펄스 폭 변조 (PWM) 제어 신호들을 발생시키는 단계를 포함한다. 이 방법은 액체 공급부로부터의 액체의 제 2 온도 및 제 2 압력 중 적어도 하나를 감지하는 단계 및 제 2 온도 신호 및 제 2 압력 신호 중 적어도 하나를 발생시키는 단계를 포함한다. 이 방법은 제 2 온도 신호 및 제 2 압력 신호 중 적어도 하나에 기초하여 펄스들의 수 및 펄스 폭 중 적어도 하나를 결정하는 단계를 포함한다.
다른 특징들에서, 액체 주입기는 자동차-타입 연료 주입기를 포함한다. 프로세싱 챔버는 반도체 프로세싱 챔버를 포함한다. 이 방법은 주입기로부터 상류에 있는 유체 통로 내에 노즐을 배치하는 단계를 포함한다. 이 방법은 유체 통로에 수직으로 액체 주입기를 배치하는 단계를 포함한다.
다른 특징들에서, 액체 주입기는 핀틀 스타일 주입기, 디스크 스타일 주입기, 및 볼 시트 스타일 주입기 중 적어도 하나를 포함한다.
반도체 제조 방법은 이 방법을 포함하고, 포토레지스트를 기판에 공급하는 단계; 포토레지스트를 광에 노출시키는 단계; 포토레지스트를 패터닝하고 패턴을 기판에 전사하는 단계; 포토레지스트를 기판으로부터 선택적으로 제거하는 단계를, 프로세싱 챔버 속에서 기판을 처리하기 전 및 후 중 적어도 하나에, 더 포함한다.
프로세싱 챔버를 위한 가스 주입 시스템은 가스 공급부로부터 가스를 받는 가스 주입기를 포함한다. 센서는 가스 공급부와 가스 주입기 사이의 유체 통로에서 제 1 온도 및 제 1 압력 중 적어도 하나를 감지하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나를 발생시키도록 가스 주입기로부터 상류에 배치된다. 제어 모듈은 가스 주입기와 통신하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나에 기초하여 가스 주입기의 펄스들의 수 및 펄스 폭을 선택하여 가스의 미리결정된 흐름을 프로세싱 챔버에 제공한다.
다른 특징들에서, 제어 모듈은 펄스들의 수 및 펄스들의 펄스 폭을 선택하는 펄스 파라미터 모듈 및 가스 주입기에 출력되는 제어 신호들을 발생시키는 펄스 폭 변조 (PWM) 모듈을 포함한다.
다른 특징들에서, 가스 주입기는 적어도 하나의 자동차-타입 연료 주입기를 포함한다. 가스 주입기는 핀틀 스타일 주입기, 디스크 스타일 주입기, 및 볼 시트 스타일 주입기 중 적어도 하나를 포함한다. 프로세싱 챔버는 반도체 프로세싱 챔버를 포함한다. 제어 모듈은 가스 주입으로 인한 반도체 프로세싱 챔버 내의 플라즈마의 펄싱을 유발하기 위해 미리결정된 펄스 폭을 초과하게 펄스 폭을 변화시킨다.
다른 특징들에서, 제어 모듈은 가스 주입으로 인한 반도체 프로세싱 챔버 내의 플라즈마의 펄싱을 방지하기 위해 미리결정된 펄스 폭 미만으로 펄스 폭을 변화시킨다.
반도체 제조 시스템은 가스 주입 시스템을 포함하고 리소그래피 패터닝 도구를 더 포함한다.
프로세싱 챔버를 동작시키기 위한 방법은 가스 공급부로부터 가스를 받는 가스 주입기로부터의 상류에 센서를 배치하는 단계; 가스 공급부 및 가스 주입기 사이에서 유체 통로 내의 제 1 온도 및 제 1 압력 중 적어도 하나를 감지하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나를 발생시키는 단계; 및 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나에 기초하여 가스 주입기의 펄스들의 수 및 펄스 폭을 선택하여 가스의 미리결정된 흐름을 프로세싱 챔버에 제공하는 단계를 포함한다.
다른 특징들에서, 이 방법은 가스 주입기로 출력되는 제어 신호들을 발생시키는 단계를 포함한다. 가스 주입기는 자동차-타입 연료 주입기를 구비한다. 가스 주입기는 핀틀 스타일 주입기, 디스크 스타일 주입기, 및 볼 시트 스타일 주입기 중 적어도 하나를 포함한다. 프로세싱 챔버는 반도체 프로세싱 챔버를 포함한다.
다른 특징들에서, 이 방법은 가스의 주입으로 인한 반도체 프로세싱 챔버 내의 플라즈마의 펄싱을 유발하기 위해 미리결정된 펄스 폭을 초과하게 펄스 폭을 변화시키는 단계를 포함한다. 이 방법은 가스의 주입으로 인한 반도체 프로세싱 챔버 내의 플라즈마의 펄싱을 방지하기 위해 미리결정된 펄스 폭 미만으로 펄스 폭을 변화시키는 단계를 포함한다.
반도체 제조 방법은 이 방법을 포함하고, 포토레지스트를 기판에 공급하는 단계; 포토레지스트를 광에 노출시키는 단계; 포토레지스트를 패터닝하고 패턴을 기판에 전사하는 단계; 포토레지스트를 기판으로부터 선택적으로 제거하는 단계를, 프로세싱 챔버 속에 기판을 배치하기 전 및 후 중 적어도 하나에, 더 포함한다.
본 개시물의 적용 가능성의 추가 영역들은 상세한 설명, 청구범위 및 도면으로부터 명확하게 될 것이다. 상세한 설명 및 구체적인 예들은 예시의 목적만을 위해 의도된 것이고 이 개시물의 범위를 제한하기 위해 의도되지 않는다.
본 개시물은 상세한 설명 및 다음의 첨부 도면들로부터 더 완전하게 이해될 것이다:
도 1은 본 개시물에 따른 프로세싱 챔버를 위한 액체 주입 시스템의 일 예의 기능 블록도이다;
도 2는 본 개시물에 따른 액체 전구체의 가열식 매니폴드 속으로의 전달을 모니터링하는 온도 및 압력을 예시하는 그래프이다;
도 3은 본 개시물에 따른 도 1의 주입기를 동작시키는 일 예의 방법을 예시하는 흐름도이다;
도 4는 본 개시물에 따른 막을 증착하는 액체 주입 시스템의 사용을 예시하는 흐름도이다;
도 5a 및 5b는 다중-챔버 시스템을 위한 가스 및 액체 주입 시스템을 예시한다;
도 6은 본 개시물에 따른 또 다른 프로세싱 챔버를 위한 액체 주입 시스템을 위한 기능 블록도이다;
도 7은 자동차-타입 연료 주입기의 일 예의 절개도이다;
도 8a 및 8b는 본 개시물에 따른 프로세싱 챔버를 위한 가스 주입 시스템의 기능 블록도들이다;
도 9는 도 8의 가스 주입 시스템을 이용하여 상류 (upstream) 압력의 함수로서 질량 유량 (mass flow rate) 을 예시한다;
도 10a 내지 10c는 가스 박스 내에 위치된 주입기를 갖는 프로세싱 챔버 내에서 플라즈마의 임피던스에 관한 상이한 펄스 주기들의 결과들을 나타낸다;
도 11a 및 11b는 사워 헤드 근처와 비교되는 가스 박스 근처에 주입기가 위치되는 경우의 동일한 펄스 주기의 결과들을 나타낸다;
도 12a 내지 12c는 상이한 펄스 폭들 또는 듀티 사이클들의 결과들을 나타낸다;
도 13은 가스 주입을 이용하여 가스를 프로세싱 챔버에 공급하는 일 예의 방법의 흐름도이다;
도 14는 리소그래피 패터닝 도구를 구비한 반도체 제조 시스템의 기능 블록도이다.
다음의 설명은 사실상 단지 예시적인 것이고 이 개시물, 그것의 애플리케이션, 또는 사용들을 제한하는 것으로 의도하는 것은 결코 아니다. 명료함을 위해, 동일한 참조 번호들이 유사한 요소들을 식별하기 위해 도면들에서 이용될 것이다. 본원에서 사용되는 바와 같이, A, B, 및 C 중 적어도 하나라는 어구는 비-배타적 논리합 (logical OR) 을 이용하여 논리 (A 또는 B 또는 C) 를 의미하는 것으로 이해되어야 한다. 방법 내의 단계들은 본 개시물의 원리를 변경하는 일 없이 상이한 순서로 실행될 수도 있다는 것이 이해되어야 한다.
본 개시물의 도 1 내지 도 7은 액체 및/또는 가스의 프로세스로의 정확한 전달을 위한 다양한 액체 주입 시스템들에 관련된다. 이 액체 주입 시스템들은 자동차-스타일 연료 주입기들 및 제어 시스템을 구비하여 원하는 양의 액체 또는 가스가 프로세스에 전달되는 것을 보장한다. 자동차-스타일 연료 주입기들은 특정 프로세스의 요구들에 맞도록 상이한 재료들, 유량 (flowrate) 들 또는 다른 동작 파라미터들로 수정될 수도 있다. 일부 예들에서, 주입되는 액체는 가열식 매니폴드에 의해 기화되어 가스를 생성한다. 액체 주입 시스템들은 액체 및/또는 가스의 주입이 프로세스에 더 가깝게 되는 것을 허용하며, 이는 변경이 있을 경우에 시간 지연을 감소시킨다. 액체 주입 시스템들은 또한 낭비를 줄이는 경향이 있다.
또한, 본 개시물의 도 8 내지 도 13은 가스의 프로세스로의 정확한 전달을 위한 가스 주입 시스템들에 관련된다. 가스 주입 시스템들은 또한 자동차-스타일 연료 주입기들 및 제어 시스템을 구비하여 원하는 양의 가스가 프로세스에 전달되는 것을 보장한다. 자동차-스타일 연료 주입기들은 특정 프로세스의 요구들에 맞도록 상이한 재료들, 유량들 또는 다른 동작 파라미터들로 수정될 수도 있다. 본 개시물에 따르면, 제어 시스템은 주입기로부터의 상류에서의 온도 및/또는 압력을 모니터링하여 프로세스에 공급되는 가스의 하류 (downstream) 의 압력, 유량 (flow rate) 또는 농도를 제어한다. 하류의 온도 및/또는 압력 또한 모니터링될 수도 있다.
이제 도 1을 참조하면, 본 개시물에 따른 챔버를 위한 액체 주입 시스템 (10) 의 일 예가 도시된다. 액체 주입 시스템 (10) 은 액체 공급부 (12) 로부터의 액체를 도관 (16) 을 통해 주입기 선단 (injector tip; 22) 을 갖는 주입기 (20) 에 공급된다.
가스 공급부 (24) 는 가스를, 피팅 (fitting; 29) 에 접속되는 도관 (28) 을 통해 공급한다. 가스는 가열될 수도 있거나 또는 가열되지 않을 수도 있다. 주입기 선단 (22) 은 가스가 프로세싱 챔버로 흐를 때 주입기 선단 (22) 을 가로질러 흐르도록 피팅 (29) 내부에 배치될 수도 있다.
가열식 매니폴드 (32) 는 피팅 (29) 으로부터 전구체와 가스의 흐름을 받는다. 주입기 (20) 는 전구체의 비교적 작은 방울들을 가열식 매니폴드 (32) 에 주입한다. 작은 방울들 (droplets) 은 가스에 의해 전단되고 (sheared) 가열식 매니폴드 (32) 에 의해 기체 상태로 가열된다. 전구체 가스는 챔버 (36) 에 전달된다. 이해될 수 있는 바와 같이, 전구체의 액체 작은 방울들이 프로세싱 챔버 (36) 에 도달하는 것 및 기판을 오염시키는 것을 방지하는 것이 중요하다.
온도 센서 또는 압력 센서와 같은 센서 (48) 는 전구체 가스의 온도 또는 압력을 감지한다. 센서 (48) 는 온도 신호 또는 압력 신호를 발생시키며, 그것은 제어 모듈 (38) 로 출력된다. 제어 모듈 (38) 은 온도 신호 및/또는 압력 신호를 모니터링하여 선택된 수 (N) 의 펄스들이 발생하는 것을 보장하며, 여기서 N은 0보다 큰 정수이다. 위에서 논의된 바와 같이, 컨포멀한 (conformal) 막들과 같은 막들을 증착하는 경우 또는 다른 프로세스들에서 과도하지 않은 정확한 양의 전구체 또는 다른 액체 (또는 가스) 를 가지는 것은 단가를 최소화하기 위해 중요하다.
제어 모듈 (38) 은 듀티 사이클, 펄스 폭, 및 펄스들의 수 (N) 를 펄스 폭 변조 (PWM) 제어 모듈 (52) 에 출력하는 펄스 파라미터 모듈 (40) 을 구비할 수도 있다. PWM 제어 모듈 (52) 은 스위치 신호들을 주입기 (20) 에 출력한다. 릴레이 (relay) 가 PWM 제어 모듈 (52) 및 주입기 (20) 사이에서 사용될 수도 있다.
제어 모듈 (38) 은 실제로 발생하는 펄스들의 수를 결정하는 펄스 카운팅 모듈 (42) 을 구비한다. 제어 모듈 (38) 은 펄스들의 원하는 수 (N) 를 실제로 발생하는 펄스들의 수와 비교하는 비교 모듈 (44) 을 구비한다. 비교 모듈 (44) 은 미스매치가 발생하는 경우 에러 신호를 발생시킬 수도 있다.
하나 이상의 부가적인 센서들 (56), 이를테면 온도 센서 및/또는 압력 센서는, 주입기 (20) 의 입구 측에서의 온도 및/또는 압력과 같은 상태 (condition) 들을 모니터링한다. 펄스 파라미터 모듈 (40) 은 주입기 (20) 의 입구 측에서의 감지된 상태들에서의 변경들에 응답하여 듀티 사이클, 펄스 폭, 및 펄스들의 수 (N) 와 같은 펄스 파라미터들 중 하나 이상을 조절할 수도 있다. 단지 예로서, 변경들은 온도 및/또는 압력 상태들에서의 변경들에 응답하여 펄스 파라미터 모듈 (40) 에 의해 펄스 파라미터들에 대해 행해질 수 있다. 변경들은 지속적으로, 이산 시간 기반으로, 이벤트 기반으로 또는 다른 기준들을 이용하여 이루어질 수 있다.
이제 도 2를 참조하면, 액체 전구체의 가열식 매니폴드 (32) 로의 주입 동안의 온도 및 압력 값들의 그래프가 도시된다. 위에서 설명된 바와 같이, 일부 애플리케이션들에서 미리결정된 양의 액체를 낭비 없이 전달하는 것은 중요하다. 그러므로, N개의 펄스들의 전부가 발생하였는지의 여부를 판단하는 것은 중요하다. 이 펄스들은, 주입기가 막히고 및/또는 전기 문제가 제어 시스템에서 발생하는 이벤트에서 발생하지 않을 수도 있다.
주입기가 액체를 가열식 매니폴드에 주입함에 따라, 가열식 매니폴드 (32) 에서의 가스의 온도 및 압력이 변화한다. 더 상세하게는, 압력은 주입 펄스에 응답하여 증가한 다음 떨어진다. 비슷하게, 가열식 매니폴드에서의 온도는 감소한 다음 상승한다. 센서가 압력 또는 온도를 측정할 수 있지만, 적합한 온도 센서들이 더 낮은 단가를 갖는 경향이 있다.
이제 도 3을 참조하면, 도 1의 주입기 (20) 를 동작시키기 위한 일 예의 방법 (100) 이 도시된다. 110에서, 원하는 양의 가스를 생성하는 액체 (이를테면 전구체) 의 양이 결정된다. 원하는 양의 액체의 가스로의 변환은 상류 센서로부터의 피드백에 기초하여 수정되는 계산일 수 있다. 이 계산은 펄스 파라미터 모듈 또는 PWM 모듈에 의해 수행될 수 있다. 액체의 양은 오퍼레이터에 의해 설정될 수 있다. 114에서, 펄스들의 각각에 대한 펄스들의 수 (N), 펄스 폭 및 듀티 사이클이 결정된다. 주입기 (20) 의 입구 측의 감지된 상태들에 대해 센서 (56) 에 의해 측정된 바와 같은 변경들이 있다면, 제어는 펄스 파라미터들 중 하나 이상을 변경할지의 여부를 결정한다. 118에서, N개의 펄스들 중 하나가 주입된다. 122에서, 제어는 펄스가 발행되었는지의 여부를 결정한다. 펄스가 발생되었다면, 제어는 N개의 펄스들의 모두가 주입되었는지의 여부를 결정한다. 124가 거짓이면, 제어는 118로 계속된다. 펄스들 중 하나가 발생하였다는 것을 제어가 확인하는데 실패하면, 에러가 128에서 발생된다. 그렇지 않고 N개의 펄스들의 모두가 주입되었다면, 제어는 종료한다. 펄스 단위 확인이 도 3에 나타나 있지만, 모든 펄스들이 발생되었다는 확인 타이밍에 무관하게 모든 펄스들이 주입될 수도 있다. 또 다른 변형예들이 고려된다.
이제 도 4를 참조하면, 액체 주입 시스템은 컨포멀한 막과 같은 막을 증착하기 위해 전구체 가스를 공급하는데 사용될 수 있다. 이해될 수 있는 바와 같이, 액체 주입기 시스템은 다른 시스템들에서 사용될 수 있다. 단지 예로서, 액체 주입기 시스템은 다른 유형들의 막을 증착하는데 및/또는 가스 또는 액체를 다른 유형들의 프로세스들에 전달하는데 등에 사용될 수 있다. 컨포멀한 막을 증착하는 방법 (140) 의 부분의 일 예가 도시된다. 기체 전구체는 액체 전구체를 위에서 설명된 바와 같이 주입함으로써 발생된다. 이 기체 전구체는 그 다음에 144에서 프로세싱 챔버로 전달된다. 미리결정된 기간 후, 전구체 가스는 148에서 제거된다. 다른 미리결정된 기간 후, 플라즈마 또는 산화 처리가 152에서 발생한다. 블록 144, 148 및 152는 컨포멀한 막의 두께를 높이도록 반복될 수도 있다.
이제 도 5a 및 5b를 참조하면, 다중 챔버들, 또는 동일한 챔버의 다수의 스테이션들을 갖춘 시스템을 위한 액체 주입 시스템이 도시된다. 도 5a에서, 프로세싱 챔버들 (210A, 210B, 210C 및 210D) 의 각각은 샤워 헤드 (214A, 214B, 214C 및 214D) 를 각각 구비한다. 프로세싱 챔버들 (210A, 210B, 210C 및 210D) 의 각각은 공급부 (218A, 218B, 218C 및 218D) 로부터의 액체를 액체 주입 시스템 (LIS) (216A, 216B, 216C 및 216D) (총괄하여, LIS (216)) 에 전달한다.
도 5b에서, LIS (216) 의 각각은 가열식 매니폴드 (241) 에 접속된 액체 주입기 (240) 를 구비한다. 센서 (243) 는 온도 또는 압력을 모니터링한다. 제어 모듈 (CM) (244) 은 펄스들이 실제로 발생하였음을 확인하기 위해 온도 또는 압력을 모니터링한다. 제어 모듈 (244) 은 제어 신호들을 PWM 제어 모듈 (252) 에 전송하며, 이 PWM 제어 모듈은 제어 신호들을 주입기 (240) 에 출력한다. 부가적인 센서 (256), 이를테면 온도 및/또는 압력 센서는, 센서 (56) 에 관하여 위에서 설명된 것과 유사한 방식으로, 주입기 (240) 의 입구 측에 대한 상태들을 모니터링한다.
도 5a 및 5b에서, 도관들은 가스를 가열식 매니폴드들 (241) 의 입구들에 공급한다. 가스는 또한 가스 공급부 (222) 에 의해 주입기 (224) 를 통해 공급될 수도 있다. 다른 시스템 제어 모듈 (228) 은 LIS (216) 및 가스 주입기 (224) 와 통신하여 프로세스를 제어한다.
이제 도 6을 참조하면, 본 개시물에 따른 프로세싱 챔버를 위한 다른 액체 주입 시스템 (290) 이 도시된다. 이 예에서, 주입기 (20) 는 가열식 매니폴드 (32) 상에 탑재된다. 주입기 (20) 는 가열식 매니폴드 (32) 를 통해 흐르는 가스의 방향에 수직으로 배열될 수도 있지만, 다른 지향 (orientation) 들이 이용될 수도 있다. 가스는 가스 공급부 (24) 에 의해 도관 (28) 을 통해 노즐 (294) 에 공급되며, 노즐은 가스의 속도를 증가시킨다. 단지 예를 들어, 노즐 (294) 은 수렴확대 (convergent divergent; CD) 노즐일 수 있다. 노즐 (294) 은 가스의 속도를 높은 속도, 음속 (sonic velocity) 또는 초음속으로 증가시킬 수도 있다. 노즐은 튜브/도관 내에서 흐르는 가스의 속도를 증가시킴으로써 작은 방울들의 전단 (shear) 을 증가시킨다. 하나의 예에서, 음속 노즐을 통해 ~10 slm의 흐름으로 10 미크론 미만의 작은 방울 사이즈가 이용되었다.
이해될 수 있는 바와 같이, 주입기 (20) 는 가열식 매니폴드 (32) 를 통해 흐르는 가스의 방향에 대해 변화하는 각도들로 배치될 수도 있다. 예를 들어, 도관 (28) 및 주입기 (20) 는 서로에 대해 그리고 가열식 매니폴드 (32) 를 통해 흐르는 가스의 방향에 대해 대략 120°의 각도를 형성할 수도 있지만, 다른 각도들이 이용될 수도 있다.
이제 도 7을 참조하면, 자동차-타입 연료 주입기의 일 예가 도시된다. 이해될 수 있는 바와 같이, 핀틀 스타일 주입기가 나타나 있지만 다른 디자인들의 자동차-스타일 연료 주입기들이 사용될 수 있다. 단지 예로서, 디스크 (disc) 스타일 주입기들, 볼 시트 (ball seat) 스타일 주입기들 및/또는 다른 유형들의 주입기들이 사용될 수도 있다. 주입기 (20) 는 입구 말단 (205) 을 구비한다. 주입기 (20) 의 열림 및 닫힘 포지션은 제어 터미널 (296) 을 통해 전기적으로 제어될 수도 있으며, 제어 터미널은 코일 (297) 에 에너지 공급 또는 에너지 차단 (de-energize) 을 허용한다. 코일 (297) 이 에너지 공급을 받는 경우, 주입기 (20) 의 플런저 (plunger; 298) 는 움직이고 액체가 주입기 선단 (22) 으로부터 주입된다.
도 1 내지 도 7의 예들이 반도체 프로세싱 시스템에서 기화되고 프로세싱 챔버에 공급되는 액체를 공급하지만, 액체 주입 시스템들은 액체 및/또는 가스를 다른 유형들의 시스템들 또는 프로세스들에 공급하는데 사용될 수 있다.
이제 도 8a 및 도 8b를 참조하면, 본 개시물에 따른 가스 주입 시스템 (300) 이 도시된다. 도 8a 내지 도 12c의 예들이 가스를 막 프로세싱 시스템의 프로세싱 챔버에 공급하지만, 가스 주입 시스템들은 다른 유형들의 시스템들 또는 프로세스들에 가스를 공급하는데 사용될 수 있다. 가스 주입 시스템 (300) 은 가스를 도관들 및 체크 밸브 (310) 를 통해 가스 박스 (304) 로부터 주입기 (320) 에 공급한다. 센서 (322) 는 주입기 (320) 의 상류 측에서의 가스의 압력을 모니터링하고 압력 신호를 발생한다. 센서 (322) 는 또한 주입기의 상류 측에 공급되는 가스의 온도를 모니터링하는데 사용될 수도 있다. 제어 모듈 (324) 은 압력 센서 (322) 로부터의 압력 신호를 수신하고 주입기 (320) 의 펄싱을 제어하기 위한 제어 신호를 발생한다. 예를 들어, 제어 모듈 (324) 은 주입기 (320) 를 제어하는 고체-상태 릴레이와 같은 릴레이에 신호를 출력할 수도 있다. 주입기 (320) 의 출력은 가스를 미리결정된 질량 유량으로 챔버 (332) 의 샤워 헤드 (330) 에 공급한다. 하류의 온도 및/또는 압력 또한 모니터링될 수도 있다. 도 8b에서, 제어 모듈 (324) 의 일 예가 도시된다. 도 8b의 제어 모듈은 원하는 가스 농도를 제공하기에 충분한 펄스 폭 및 펄스 수를 결정하는 펄스 파라미터 모듈 (336) 를 구비한다. 펄스 폭 변조 (PWM) 모듈 (338) 은 펄스 파라미터 모듈 (336) 로부터의 제어 신호들에 기초하여 주입기 (320) 에 대한 제어 신호들을 발생한다.
이제 도 9를 참조하면, 질량 유량이 도 8의 가스 주입 시스템을 사용하여 상류 압력의 함수로서 나타난다. 이해될 수 있는 바와 같이, 질량 유량은 아르곤 (Ar), 헬륨 (He) 및 질소 (N2) 와 같은 다양한 가스들에 대한 상류 압력의 비교적 선형의 함수이다. 질량 유량은 다음에 의해 주어진다:
Figure pct00001
여기서 m은 kg/s 단위의 질량 유량이며, C는 유출 (discharge) 계수이며, A는 ㎡ 단위의 유출 홀 단면적이며, k는 cp/cv이고, cp는 일정 압력에서의 가스의 비열이며, cv는 일정 체적에서의 가스의 비열이며, p는 kg/㎡ 단위의 P 및 T에서의 실제 가스 밀도이며, P는 Pa에서의 가스의 절대 상류 압력이고, M은 kg/mole 단위의 가스 분자 질량이다.
압력에 대한 선형 의존성이 있으므로, 주입기 (320) 를 통한 흐름은 초킹되는 (choked) 것으로 보인다. 그러므로, 압축가능 가스 흐름 이론이 적용가능하다. 흐름은 초킹 조건 (condition) 이 만족되는 한 하류의 압력에 무관하다. 그 결과, 하류의 흐름은 상류 압력을 제어함으로써 유지될 수 있다. 흐름의 정확도는 압력 센서 (322) 의 정확도에 의존한다. 압력 센서들은 판독/0.25% 전체 스케일의 ~1%의 정확도를 가지며, 이는 더 값비싼 질량 유량 제어기들의 정확도에 유사하다.
이해될 수 있는 바와 같이, 주입기 (320) 는 가스 박스 (304) 및 샤워 헤드 (330) 또는 챔버 (332) 사이에서 다양한 위치들에 위치될 수 있다. 이제 도 9a 내지 9c를 참조하면, 프로세싱 챔버 (332) 내부의 플라즈마의 측정된 임피던스가 가스 박스 (304) 내에 또는 그 근처에 위치된 주입기 (320) 와의 상이한 펄스 주기들에 대해 도시된다. 도 9a 내지 9c의 예들은 2 Torr 및 500 Watt(W) 플라즈마의 압력 챔버로 발생되었다. 프로세싱 챔버 (332) 내부의 임피던스는 프로세싱 챔버 (332) 내에 배치된 전압 전류 프로브로 측정되었다. 가스 주입기 (320) 를 통과하는 가스 유량은 N2의 대략 10 slm (standard liters per minute; 분당 표준 리터) 였다. 가스 주입기 (320) 의 듀티 사이클은 50%로 설정되었다.
도 10a 및 10b에서, 프로세싱 챔버 (332) 에서의 임피던스의 펄싱 (pursing) 은 각각 166ms 및 80ms의 주기를 갖는 펄스들에 대해 발생한다. 그러나, 도 10c에서, 임피던스의 펄싱은 40 ms의 주기를 갖는 펄스들에 대해 발생하지 않는다. 따라서, 펄싱은 미리결정된 펄스 폭 미만에서 발생하지 않는다. 펄싱이 발생하는 경우, 플라즈마의 임피던스의 펄싱은 주입기 (320) 의 펄싱과 매치된다. 동일한 유량에 대해, 더 긴 주입 주기들이 더 많은 플라즈마 펄싱을 가지는 경향이 있다.
이제 도 11a 및 11b를 참조하면, 상이한 위치들에 위치된 주입기 (320) 를 이용하여 동일한 펄스 주기에 대해 결과들이 도시된다. 도 11a에서, 주입기 (320) 는 가스 박스 (304) 근처에 위치된다. 도 11b에서, 주입기 (320) 는 샤워 헤드 근처에 위치된다. 깨끗한 건조 공기 (clean dry air; CDA) 의 대략 3 slm의 흐름이 이용된다. 도 11a 및 11b 양자 모두는 40 ms 펄스 주기를 나타낸다. 주입기 (320) 가 샤워 헤드 근처에 위치되는 경우, 주입기 (320) 의 펄싱은 플라즈마의 임피던스에 영향을 준다. 그러나, 주입기 (320) 가 가스 박스 (304) 에 인접하게 위치되는 경우, 주입기의 펄싱이 플라즈마의 임피던스에서 명확하게 보이지 않는다. 이해될 수 있는 바와 같이, 주입 지점으로부터 플라즈마까지의 이동 시간은 주입기의 펄싱이 플라즈마의 임피던스에 영향을 주는지의 여부에 영향을 주는 경향이 있다.
이제 도 12a 내지 12c를 참조하면, 주입기 (320) 는 샤워 헤드에 인접하게 위치된다. 이 예에서, 160 ms의 주기가 이용되고 챔버 압력은 2 Torr로 설정된다. 도 12a는 8 ms 펄스 뒤에는 펄스 없이 152 ms가 뒤따름을 나타낸다. 도 12b는 32 ms 펄스 뒤에는 펄스 없이 128 ms가 뒤따름을 나타낸다. 도 12c는 80 ms 펄스 뒤에는 펄스 없이 80 ms가 뒤따름을 나타낸다. 더 큰 펄스 폭들은 플라즈마의 임피던스에 더 많은 영향을 주는 경향이 있다. 동일한 주기를 갖는 더 높은 유량은 또한 플라즈마의 임피던스에 더 상당한 영향을 주는 경향이 있다.
본 개시물은 PWM 파라미터들 및/또는 주입기 위치를 수정함으로써 동일한 전체 유량으로 상이한 플라즈마 상태들을 가능하게 한다. 본 개시물은 유량 외의 파라미터가 제어될 수 있는 주입기의 차별화된 사용을 허용한다. 본 개시물은 또한 동일한 유량으로 상이한 증착 상태들을 허용한다. 본 개시물은 플라즈마에 대해 RF 또는 일반적으로 여기 에너지를 펄싱함으로써 플라즈마 펄서 (pulser) 들과 같은 더 비싼 기법들과 동일한 효과를 달성하는 덜 비싼 방법을 제공한다.
단지 예로서, 액체 주입 시스템 및 가스 주입 시스템 둘 다에서 사용되는 주입기들은 자동차-스타일 연료 주입기들 또는 반도체 애플리케이션들을 위해 수정된 자동차 스타일 연료 주입기들을 구비할 수도 있다. 많은 자동차-스타일 연료 주입기들은 황동 또는 구리 부품들을 포함한다. 일부 예들에서, 황동 또는 구리 부품들은 강철, 알루미늄 또는, 구리를 함유하지 않은 합금 또는 다른 금속으로 만들어진 부품들로 대체될 수도 있다. 또 다른 재료 변경들이 이루어질 수도 있다. 비슷하게, 자동차-스타일 주입기들의 유량들은 또한 특정 반도체 애플리케이션에 맞도록 변경될 수도 있다.
본원에서 설명되는 장치/프로세스는, 기판 상에 막을 증착하며, 기판 상의 막을 에칭하며, 기판 상의 막을 세정하며, 기판 상의 막을 화학적으로 처리하며, 그리고/또는 그외에 기판 상의 막을 처리하는 프로세스에서 이용될 수도 있다.
이제 도 13을 참조하면, 프로세싱 챔버에 대한 가스 주입기를 동작시키기 위한 방법이 400에서 도시된다. 404에서, 프로세싱 챔버에 대한 원하는 가스 유량이 결정된다. 408에서, 가스 주입기의 입구 측에서의 온도 및 압력과 같은 상태들이 감지된다. 412에서, 가스 주입기의 입구 측에서의 감지된 상태들에 기초하여, 펄스들의 수 (N), 펄스 폭 및 듀티 사이클이 결정되고, 조절된다.
이제 도 14를 참조하면, 반도체 제조 시스템 (450) 은 위에서 설명된 바와 같은 가스 또는 액체 주입 시스템 (458) 과 리소그래피 패터닝 도구 (460) 를 구비한 프로세싱 챔버를 포함한다.
본원에서 설명된 장치/프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널들 등의 제작 또는 제조를 위한, 리소그래픽 패터닝 도구들 또는 공정들에 연계하여 사용될 수도 있다. 반드시 필요한 것은 아니지만, 보통 이러한 도구들/공정들은 공통 제작 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 전형적으로는, 각각이 다수의 가능한 도구들로 가능하게 되는 다음의 일부 또는 전부를 포함한다: (1) 스핀-온 또는 스프레이-온 도구와 같은 레지스트 애플리케이터 도구 (462) 를 사용한 포토레지스트의 작업편 (workpiece) 즉, 기판 상에 적용; (2) 핫 플레이트 또는 노 (furnace) 또는 UV 경화 도구와 같은 경화 (curing) 도구 (464) 를 이용한 포트레지스트의 경화; (3) 웨이퍼 스테퍼와 같은 포토레지스트 노광 도구 (466) 로 가시 또는 UV 또는 x-레이 광에 대한 포토레지스트의 노출; (4) 레지스트를 선택적으로 제거하고 이에 의해 그것을 습식 벤치와 같은 도구를 이용하여 패터닝하기 위해 레지스트를 현상; (5) 건식 또는 플라즈마-지원형 에칭 도구와 같은 전사 (transfer) 도구 (468) 를 사용함으로써 레지스트 패턴을 하부 (underlying) 막 또는 작업편에 전사; 및 (6) RF 또는 마이크로파 플라즈마 레지트 스트리퍼와 같은 박리 도구 (470) 를 사용하여 레지스트를 제거.
본원에서 사용되는 바와 같이, 용어 모듈은, 주문형 집적회로 (ASIC); 전자 회로; 조합 로직 회로; 필드 프로그램가능 게이트 어레이 (FPGA); 메모리와 인터페이싱하고 코드를 실행하는 프로세서 (공유형, 전용, 또는 그룹); 설명된 기능을 제공하는 다른 적합한 구성요소들; 또는 위의 것들 중 일부 또는 전부의 조합을 지칭할 수도 있거나, 그것들의 부분일 수도 있거나, 또는 그것들을 포함할 수도 있다. 위에서 사용된 바와 같은 용어 코드는, 소프트웨어, 펌웨어, 및/또는 마이크로코드를 포함할 수도 있고, 프로그램들, 루틴들, 함수들, 클래스들, 및/또는 객체들을 지칭할 수도 있다. 위에서 사용된 바와 같은 용어 공유형 (shared) 은, 다수의 모듈들로부터의 일부 또는 전체 코드가 단일 (공유형) 프로세서를 사용하여 실행될 수 있다는 것을 의미한다. 덧붙여서, 다수의 모듈들로부터의 일부 또는 모든 코드는 단일 (공유형) 메모리에 의해 저장될 수도 있다. 위에서 사용된 바와 같은 용어 그룹은, 단일 모듈로부터의 일부 또는 모든 코드가 프로세서들의 그룹을 이용하여 실행될 수도 있다는 것을 의미한다. 덧붙여서, 단일 모듈로부터의 일부 또는 전체 코드는 메모리들의 그룹을 이용하여 저장될 수도 있다.
본원에서 설명되는 장치들 및 방법들은 하나 이상의 프로세서들에 의해 실행되는 하나 이상의 컴퓨터 프로그램들에 의해 구현될 수도 있다. 이 컴퓨터 프로그램들은 비 일시적 유형의 컴퓨터 판독가능 매체 상에 저장되는 프로세서 실행가능 명령들을 포함한다. 이 컴퓨터 프로그램들은 또한 저장된 데이터를 포함한다. 비 일시적 유형의 컴퓨터 판독가능 매체의 비-제한적 예들은 비휘발성 메모리, 자기 스토리지, 및 광학적 스토리지이다.
이 개시물의 넓은 교시들은 다양한 형태들로 구현될 수도 있다. 그러므로, 이 개시물이 특정한 예들을 포함하지만, 이 개시물의 진정한 범위는 그와 같이 제한되지 않아야 하며 왜냐하면 다른 변형예들이 도면들, 상세한 설명, 및 다음의 청구항들을 연구하면 명확하게 될 것이기 때문이다.

Claims (31)

  1. 프로세싱 챔버를 위한 액체 주입 시스템으로서,
    액체 공급부로부터 액체를 받고 상기 액체를 도관 속으로 선택적으로 펄싱 (pulsing) 하는 액체 주입기;
    상기 액체 주입기의 펄스들의 수 및 펄스 폭을 선택하는 제어 모듈;
    상기 도관 속으로 가스를 공급하는 가스 공급부; 및
    각각, 상기 도관 내의 제 1 온도 및 제 1 압력 중 적어도 하나를 감지하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나를 발생시키는 센서를 포함하며,
    상기 제어 모듈은, 상기 제 1 온도 신호 및 상기 제 1 압력 신호 중 적어도 하나에 기초하여 선택된 수의 펄스들이 발생하는 것을 확인하는, 액체 주입 시스템.
  2. 제 1 항에 있어서,
    상기 도관을 둘러싸는 가열식 매니폴드를 더 포함하는, 액체 주입 시스템.
  3. 제 2 항에 있어서,
    상기 센서는 상기 가열식 매니폴드에 의해 가열된 상기 도관의 부분들에서 상기 제 1 온도 및 상기 제 1 압력 중 적어도 하나를 감지하는, 액체 주입 시스템.
  4. 제 1 항에 있어서,
    상기 제어 모듈은,
    상기 센서와 통신하고 상기 제 1 온도 신호 및 상기 제 1 압력 신호 중 적어도 하나에 기초하여 펄스들을 카운팅하는 펄스 카운팅 모듈;
    상기 펄스들의 수 및 상기 펄스들의 펄스 폭을 선택하는 펄스 파라미터 모듈; 및
    상기 선택된 펄스들의 수를 상기 카운팅된 펄스 수와 비교하는 비교 모듈을 포함하는, 액체 주입 시스템.
  5. 제 4 항에 있어서,
    상기 제어 모듈은, 상기 액체 주입기에 출력되는 제어 신호들을 발생시키는 펄스 폭 변조 (PWM) 모듈을 더 포함하는, 액체 주입 시스템.
  6. 제 4 항에 있어서,
    상기 액체 공급부로부터의 액체의 제 2 온도 및 제 2 압력 중 적어도 하나를 감지하고 제 2 온도 신호 및 제 2 압력 신호 중 적어도 하나를 발생시키는 센서를 더 포함하는, 액체 주입 시스템.
  7. 제 6 항에 있어서,
    상기 펄스 파라미터 모듈은 상기 제 2 온도 신호 및 상기 제 2 압력 신호 중 적어도 하나에 기초하여 상기 펄스들의 수 및 상기 펄스 폭 중 적어도 하나를 결정하는, 액체 주입 시스템.
  8. 제 1 항에 있어서,
    상기 액체 주입기는 자동차-타입 연료 주입기를 포함하는, 액체 주입 시스템.
  9. 제 1 항에 있어서,
    상기 액체 주입기는 핀틀 (pintle) 스타일 주입기, 디스크 (disc) 스타일 주입기, 및 볼 시트 (ball seat) 스타일 주입기 중 적어도 하나를 포함하는, 액체 주입 시스템.
  10. 제 1 항에 있어서,
    상기 액체 주입기 및 상기 가스 공급부는 상기 도관에 접속된 피팅 (fitting) 에 연결되는, 액체 주입 시스템.
  11. 제 1 항에 있어서,
    상기 프로세싱 챔버는 반도체 프로세싱 챔버를 포함하는, 액체 주입 시스템.
  12. 프로세싱 챔버를 위한 액체 주입 시스템으로서,
    가스 공급부로부터 가스를 받는 유체 통로를 정의하는 매니폴드;
    상기 매니폴드 내에 배치되어, 액체 공급부로부터 액체를 받고 상기 액체를 상기 유체 통로에 선택적으로 펄싱 (pulsing) 하는 액체 주입기;
    상기 액체 주입기의 펄스들의 수 및 펄스 폭을 선택하는 제어 모듈; 및
    상기 매니폴드 내에 배치되어, 상기 유체 통로 내의 제 1 온도 및 제 1 압력 중 적어도 하나를 감지하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나를 발생시키는 센서를 포함하며,
    상기 제어 모듈은, 상기 제 1 온도 신호 및 상기 제 1 압력 신호 중 적어도 하나에 기초하여 선택된 수의 펄스들이 발생하는 것을 확인하는, 액체 주입 시스템.
  13. 제 12 항에 있어서,
    상기 매니폴드는 가열식 매니폴드인, 액체 주입 시스템.
  14. 제 12 항에 있어서,
    상기 제어 모듈은,
    상기 센서와 통신하고 상기 제 1 온도 신호 및 상기 제 1 압력 신호 중 적어도 하나에 기초하여 펄스들을 카운팅하는 펄스 카운팅 모듈;
    상기 펄스들의 수 및 상기 펄스들의 펄스 폭을 선택하는 펄스 파라미터 모듈; 및
    상기 선택된 펄스들의 수를 상기 카운팅된 펄스 수와 비교하는 비교 모듈을 포함하는, 액체 주입 시스템.
  15. 제 12 항에 있어서,
    상기 제어 모듈은, 상기 액체 주입기에 출력되는 제어 신호들을 발생시키는 펄스 폭 변조 (PWM) 모듈을 더 포함하는, 액체 주입 시스템.
  16. 제 15 항에 있어서,
    상기 액체 공급부로부터의 액체의 제 2 온도 및 제 2 압력 중 적어도 하나를 감지하고 제 2 온도 신호 및 제 2 압력 신호 중 적어도 하나를 발생시키는 센서를 더 포함하는, 액체 주입 시스템.
  17. 제 16 항에 있어서,
    펄스 파라미터 모듈이 상기 제 2 온도 신호 및 상기 제 2 압력 신호 중 적어도 하나에 기초하여 상기 펄스들의 수 및 상기 펄스 폭 중 적어도 하나를 결정하는, 액체 주입 시스템.
  18. 제 12 항에 있어서,
    상기 액체 주입기는 자동차-타입 연료 주입기를 포함하는, 액체 주입 시스템.
  19. 제 12 항에 있어서,
    상기 프로세싱 챔버는 반도체 프로세싱 챔버를 포함하는, 액체 주입 시스템.
  20. 제 12 항에 있어서,
    상기 주입기로부터 상류에 있는 상기 유체 통로 내에 배치된 노즐을 더 포함하는, 액체 주입 시스템.
  21. 제 12 항에 있어서,
    상기 주입기는 상기 유체 통로에 수직으로 배치되는, 액체 주입 시스템.
  22. 제 12 항에 있어서,
    상기 액체 주입기는 핀틀 (pintle) 스타일 주입기, 디스크 (disc) 스타일 주입기, 및 볼 시트 (ball seat) 스타일 주입기 중 적어도 하나를 포함하는, 액체 주입 시스템.
  23. 제 12 항에 기재된 액체 주입 시스템을 포함하고, 리소그래피 패터닝 도구를 더 포함하는, 반도체 제조 시스템.
  24. 프로세싱 챔버를 위한 가스 주입 시스템으로서,
    가스 공급부로부터 가스를 받는 가스 주입기;
    상기 가스 공급부와 상기 가스 주입기 사이의 유체 통로에서 제 1 온도 및 제 1 압력 중 적어도 하나를 감지하고 제 1 온도 신호 및 제 1 압력 신호 중 적어도 하나를 발생시키기 위해 상기 가스 주입기로부터 상류에 배치된 센서; 및
    상기 가스 주입기와 통신하고, 상기 제 1 온도 신호 및 상기 제 1 압력 신호 중 적어도 하나에 기초하여 상기 가스 주입기의 펄스들의 수 및 펄스 폭을 선택하여 상기 가스의 미리결정된 흐름을 상기 프로세싱 챔버에 제공하는 제어 모듈을 포함하는, 가스 주입 시스템.
  25. 제 24 항에 있어서,
    상기 제어 모듈은,
    상기 펄스들의 수 및 상기 펄스들의 펄스 폭을 선택하는 펄스 파라미터 모듈; 및
    상기 가스 주입기로 출력되는 제어 신호들을 발생시키는 펄스 폭 변조 (PWM) 모듈을 포함하는, 가스 주입 시스템.
  26. 제 24 항에 있어서,
    상기 가스 주입기는 적어도 하나의 자동차-타입 연료 주입기를 포함하는, 가스 주입 시스템.
  27. 제 24 항에 있어서,
    상기 가스 주입기는 핀틀 (pintle) 스타일 주입기, 디스크 (disc) 스타일 주입기, 및 볼 시트 (ball seat) 스타일 주입기 중 적어도 하나를 포함하는, 가스 주입 시스템.
  28. 제 24 항에 있어서,
    상기 프로세싱 챔버는 반도체 프로세싱 챔버를 포함하는, 가스 주입 시스템.
  29. 제 28 항에 있어서,
    상기 제어 모듈은 가스 주입으로 인한 상기 반도체 프로세싱 챔버에서의 플라즈마의 펄싱을 유발하기 위해 미리결정된 펄스 폭을 초과하게 펄스 폭을 변화시키는, 가스 주입 시스템.
  30. 제 29 항에 있어서,
    상기 제어 모듈은 상기 가스 주입으로 인한 상기 반도체 프로세싱 챔버에서의 플라즈마의 펄싱을 방지하기 위해 미리결정된 펄스 폭 미만으로 펄스 폭을 변화시키는, 가스 주입 시스템.
  31. 제 24 항에 기재된 가스 주입 시스템을 포함하고 리소그래피 패터닝 도구를 더 포함하는, 반도체 제조 시스템.
KR1020127029881A 2010-04-15 2011-04-11 가스 및 액체 주입 방법들 및 장치 KR20130055606A (ko)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US32471010P 2010-04-15 2010-04-15
US61/324,710 2010-04-15
US37236710P 2010-08-10 2010-08-10
US61/372,367 2010-08-10
US37908110P 2010-09-01 2010-09-01
US61/379,081 2010-09-01
US41780710P 2010-11-29 2010-11-29
US61/417,807 2010-11-29
US201161439619P 2011-02-04 2011-02-04
US61/439,619 2011-02-04
US13/083,827 US20110256724A1 (en) 2010-04-15 2011-04-11 Gas and liquid injection methods and apparatus
PCT/US2011/031961 WO2011130174A1 (en) 2010-04-15 2011-04-11 Gas and liquid injection methods and apparatus
US13/083,827 2011-04-11

Publications (1)

Publication Number Publication Date
KR20130055606A true KR20130055606A (ko) 2013-05-28

Family

ID=44788513

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127029881A KR20130055606A (ko) 2010-04-15 2011-04-11 가스 및 액체 주입 방법들 및 장치

Country Status (5)

Country Link
US (1) US20110256724A1 (ko)
KR (1) KR20130055606A (ko)
CN (1) CN102906305B (ko)
TW (1) TWI506391B (ko)
WO (1) WO2011130174A1 (ko)

Families Citing this family (223)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
DE102013222199A1 (de) * 2013-10-31 2015-04-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Nieder- und Mitteldruck-Plasmaverfahren zur Oberflächenbeschichtung mittels Percursorzuführung ohne Trägergas
JP6158111B2 (ja) * 2014-02-12 2017-07-05 東京エレクトロン株式会社 ガス供給方法及び半導体製造装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9748093B2 (en) * 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106910680A (zh) * 2015-12-23 2017-06-30 北京大学 室温环境下激励砷化镓中金属原子扩散的方法
CN106910681B (zh) * 2015-12-23 2019-12-13 北京大学 一种室温环境下激励砷化镓中金属原子扩散的方法
CN106920744B (zh) * 2015-12-25 2019-12-13 北京大学 一种室温环境中激励硅中非金属原子扩散的方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN105958972B (zh) * 2016-06-07 2018-11-27 矽力杰半导体技术(杭州)有限公司 Pwm控制电路及pwm信号生成方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
DE102016114607A1 (de) * 2016-08-05 2018-02-08 Infineon Technologies Ag Flüssigkeitsabgabesystem, -Vorrichtung und -Verfahren
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN110016653B (zh) * 2019-04-11 2021-06-01 东南大学 一种原子层沉积软硬复合涂层自润滑刀具及其制备方法
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4141243A (en) * 1978-05-03 1979-02-27 Bacharach Instrument Company, A Division Of Ambac Industries, Inc. Apparatus for testing the volumetric output of fuel injector system components
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4310474A (en) * 1980-04-02 1982-01-12 Western Electric Company, Inc. Method and apparatus for generating a vapor stream
US4430978A (en) * 1981-09-28 1984-02-14 The Bendix Corporation Direct liquid injection of liquid petroleum gas
US5020564A (en) * 1989-06-29 1991-06-04 Allied-Signal Inc. Doser system for regulating pressure in a control chamber of a test stand
FR2707671B1 (fr) * 1993-07-12 1995-09-15 Centre Nat Rech Scient Procédé et dispositif d'introduction de précurseurs dans une enceinte de dépôt chimique en phase vapeur.
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US6367316B1 (en) * 1998-04-13 2002-04-09 Cummins Engine Company, Inc. Real-time mass flow measurement
US6254936B1 (en) * 1998-09-14 2001-07-03 Silicon Valley Group, Inc. Environment exchange control for material on a wafer surface
FR2800754B1 (fr) * 1999-11-08 2003-05-09 Joint Industrial Processors For Electronics Dispositif evaporateur d'une installation de depot chimique en phase vapeur
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US7163197B2 (en) * 2000-09-26 2007-01-16 Shimadzu Corporation Liquid substance supply device for vaporizing system, vaporizer, and vaporization performance appraisal method
FR2829037B1 (fr) * 2001-08-28 2003-12-19 Joint Industrial Processors For Electronics Dispositif a enceintes multiples pour l'evaporation fractionnee et la separation d'une solution
US7063981B2 (en) * 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
DE10345824A1 (de) * 2003-09-30 2005-05-04 Infineon Technologies Ag Anordnung zur Abscheidung von atomaren Schichten auf Substraten
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US20060060139A1 (en) * 2004-04-12 2006-03-23 Mks Instruments, Inc. Precursor gas delivery with carrier gas mixing
FR2874028B1 (fr) * 2004-08-06 2006-10-27 Qualiflow Sa Sa Dispositif d'introduction dans une enceinte de precurseurs liquides en mode pulse avec mesure et controle du debit
KR101230692B1 (ko) * 2005-03-16 2013-02-07 가부시키가이샤 호리바 세이샤쿠쇼 성막 장치 및 성막 방법
JP4999139B2 (ja) * 2005-11-21 2012-08-15 富士フイルム株式会社 駆動制御装置及び駆動制御方法
ATE432536T1 (de) * 2005-11-21 2009-06-15 Fujinon Corp Aktorantriebssteuerungsvorrichtung und aktorantriebssteuerungsverfahren
CN101473413B (zh) * 2006-02-01 2011-01-26 Nxp股份有限公司 脉冲化学制剂分配系统和脉冲化学制剂分配方法
FR2897070B1 (fr) * 2006-02-03 2008-12-19 Commissariat Energie Atomique Procede dli-mocvd pour la fabrication d'electrodes pour reacteurs electrochimiques, electrodes obtenues par ce procede et pile a combustible et accumulateur mettant en oeuvre de telles electrodes
FR2904007B1 (fr) * 2006-07-21 2008-11-21 Toulouse Inst Nat Polytech Procede de depot de revetements ceramiques non oxydes.
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
JP5385002B2 (ja) * 2008-06-16 2014-01-08 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
US8382711B2 (en) 2010-12-29 2013-02-26 Baxter International Inc. Intravenous pumping air management systems and methods

Also Published As

Publication number Publication date
TW201144967A (en) 2011-12-16
CN102906305A (zh) 2013-01-30
WO2011130174A1 (en) 2011-10-20
US20110256724A1 (en) 2011-10-20
CN102906305B (zh) 2016-01-13
TWI506391B (zh) 2015-11-01

Similar Documents

Publication Publication Date Title
KR20130055606A (ko) 가스 및 액체 주입 방법들 및 장치
CN111670420B (zh) 用于流体的脉冲输送的流体控制系统和输送流体脉冲的方法
US8205629B2 (en) Real time lead-line characterization for MFC flow verification
JP5688026B2 (ja) 二重モードマスフロー確証及びマスフロー送給のシステム及び方法
US7628861B2 (en) Pulsed mass flow delivery system and method
TWI398546B (zh) 用以驗證從氣體供應系統進入電漿處理室之氣流速率之方法
CN101127296B (zh) 用于气流测量的方法及装置
KR20070012465A (ko) 펄스 질량 유량 이송시스템 및 방법
US8790464B2 (en) Control for and method of pulsed gas delivery
US11662237B2 (en) MEMS coriolis gas flow controller
KR100653710B1 (ko) 질량 유량 제어기
US20190391602A1 (en) Methods and apparatus for enhanced flow detection repeatability of thermal-based mass flow controllers (mfcs)
KR102492984B1 (ko) 기판 프로세싱 시스템의 전구체 증기 공급 시스템에서 플로우 모니터링을 위한 시스템들 및 방법들
CN105374657A (zh) 等离子体处理装置及其温度控制方法
US20220293442A1 (en) Dynamic process control in semiconductor manufacturing
CN112543992A (zh) 用于改善室匹配的混合流量计量
US20070224708A1 (en) Mass pulse sensor and process-gas system and method
CN106169432A (zh) 按需填充的安瓿再填充
KR20080014938A (ko) 반도체 공정챔버내 정전척의 파티클 제거장치
US20230266156A1 (en) Method and Apparatus for Pressure Based Mass Flow Control
KR20240048241A (ko) 웨이퍼 세정용 유체 이송용 배관의 유량 조절장치
JP7421482B2 (ja) パルス幅変調されたドーズ制御のためのシステムおよび方法
KR101889379B1 (ko) 유량제어시스템
JP2023550129A (ja) 圧力制御を有するパルスガス供給のための方法及び装置
KR20230028800A (ko) 미세 전자기계 디바이스들에 기반한 질량 유동 제어

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application