TWI816676B - 用於達成無缺陷自組裝單層的晶圓處理 - Google Patents

用於達成無缺陷自組裝單層的晶圓處理 Download PDF

Info

Publication number
TWI816676B
TWI816676B TW107119442A TW107119442A TWI816676B TW I816676 B TWI816676 B TW I816676B TW 107119442 A TW107119442 A TW 107119442A TW 107119442 A TW107119442 A TW 107119442A TW I816676 B TWI816676 B TW I816676B
Authority
TW
Taiwan
Prior art keywords
sam
substrate
chamber
cleaning
temperature
Prior art date
Application number
TW107119442A
Other languages
English (en)
Other versions
TW201905971A (zh
Inventor
柯常
周磊
劉彪
潘鋮
郭淵泓
立其 吳
麥可S 傑克森
魯多維 葛迪
托賓 高夫曼歐斯柏恩
伊宗 陳
伯方 馬
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201905971A publication Critical patent/TW201905971A/zh
Application granted granted Critical
Publication of TWI816676B publication Critical patent/TWI816676B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

本案描述將一薄膜相對於一第二材料選擇性地沉積至第一材料上之方法。藉由以下步驟預清洗基板:將該基板加熱至第一溫度,清洗來自該基板之污染物以及活化第一表面以促進在該第一材料上形成自組裝單層(SAM)。藉由重複SAM分子暴露、加熱及重新活化該第一材料之重複循環,在該第一材料上形成SAM。在將薄膜選擇性地沉積於該第二材料上之前,執行最終暴露於該等SAM分子。亦描述執行該選擇性沉積之設備。

Description

用於達成無缺陷自組裝單層的晶圓處理
本揭示案之實施例大體係關於選擇性地沉積薄膜之方法及設備。更特定地,本揭示案之實施例係針對在選擇性沉積應用中預處理基板以達成無缺陷自組裝單層的方法及設備。
近十年來,半導體業界試圖藉由將微影術步驟替換為具有較低成本、減小的處理時間及較小特徵大小的替代性方案,改良積體電路(integrated circuit; IC)處理。諸多此等替代性方案歸入「選擇性沉積」之毯覆類別。一般而言,選擇性沉積係指如下製程:靶材基板材料上之淨沉積速率較之其他基板材料為較高,使得在靶材基板材料上達成薄膜厚度,而在其他基板材料上之沉積可忽略(其中「可忽略」係由製程約束條件界定)。
咸信選擇性沉積係一種有效技術,其顯著地降低半導體裝置製造中之圖案化製程的成本。選擇性沉積有助於減小製程流之複雜性,從而產生較少製程步驟及較高產量。
一種達成選擇性沉積之一般性策略係採用阻擋層之用途。理想地,此策略涉及(1)在避免沉積之基板材料上形成阻擋層,對靶材基板材料具有可忽略的影響,(2)在靶材基板材料上沉積(其中阻擋層「阻擋」在其他基板材料上沉積),以及(3)移除阻擋層,對經沉積薄膜無淨不利效應。
一種選擇性沉積方式使用自組裝單層(self-assembled monolayers; SAM)提高製程或沉積選擇性。SAM選擇性沉積製程之關鍵要素中之一者係SAM之品質。舉例而言,無缺陷且裝填良好之SAM層對於達成高品質選擇性沉積而言係重要的。SAM缺陷係在SAM沉積製程期間產生之任何形式之奈米大小粒子或污染物。此等粒子或污染物最終可轉化為失效電路且致使裝置良率損失。另外,SAM分子之裝填品質判定與沉積選擇性有關之阻擋效率。因此,選擇性沉積之應用很大程度上取決於SAM層之品質。
因而,此項技術中需要在介電性表面或金屬表面上達成高品質無缺陷SAM之設備及方法。
本揭示案之一或多個實施例係針對處理方法,該等處理方法包含提供具有經暴露第一材料及經暴露第二材料之基板。使該基板暴露於預清洗製程,該預清洗製程包含將該基板加熱至第一溫度,清洗該基板之污染物以及活化該第一材料之表面,以促進在該經暴露第一材料上形成自組裝單層(SAM)。在第二溫度下,藉由使該基板暴露於SAM形成製程之複數個循環,繼之以最終暴露於SAM分子,在該經暴露第一材料上形成SAM。該SAM形成製程之每一循環包含使該基板暴露於該SAM分子,繼之以加熱該基板以及重新活化該表面。將薄膜選擇性地沉積於該經暴露第二材料上。
本揭示案之額外實施例係針對處理方法,該等處理方法包含:(a)提供具有經暴露第一材料及經暴露第二材料之基板;(b)使該基板暴露於預清洗製程,該預清洗製程包含將該基板加熱至第一溫度,清洗該基板之污染物,以及使該第一材料暴露於包含水蒸汽之活化劑,以促進在該經暴露第一材料上形成自組裝單層(SAM);(c)使該基板在小於該第一溫度之第二溫度下暴露於SAM分子,以在該第一材料之表面上形成SAM之部分;(d)將該基板加熱至大於該第二溫度之溫度;(e)使該基板暴露於活化劑,以重新活化該第一材料之暴露表面;(f)重複(c)至(e);(g)將該SAM分子最終暴露於該基板,以形成該SAM;及(h)將薄膜選擇性地沉積於該經暴露第二材料上。
本揭示案之另外實施例係針對製造電子元件之系統。該系統包含中心移送站、預清洗腔室、SAM沉積腔室及至少一個處理器。該中心移送站包含使一或多個基板在連接至該中心移送站之腔室之間移動的機器人。該預清洗腔室連接至該中心移送站且包含加熱器、自由基源或電漿源中之一或多者。該預清洗腔室與活化劑流體連通。該SAM沉積腔室連接至該中心移送站且包含裝納基板之基座。該SAM沉積腔室與一或多個活性氣體源流體連通以提供一或多個活性氣體流至該SAM沉積腔室。該至少一個處理器耦接至該中心移送站、該預清洗腔室或該SAM沉積腔室。該至少一個處理器具有控制在基板之第一表面上形成SAM之一或多個組態。第一組態可控制在該預清洗腔室中清洗基板。該清洗包含將該基板加熱至第一溫度且使該基板暴露於來自電漿源之電漿或來自自由基源之自由基中之一或多者。第二組態可控制該活化劑流至該基板。第三組態可控制使用該機器人使該基板自該預清洗腔室經由該中心移送站移動至該SAM沉積腔室。第四組態可形成SAM,形成SAM包含暴露於SAM分子、加熱該基板以及暴露於活化劑之多個循環。
如在此說明書及隨附申請專利範圍中所使用,術語「基板」及「晶圓」可互換地使用,兩者係指製程作用於的表面或表面之部分。熟習此項技術者亦將理解,除非上下文另有明確表示,否則對基板之提及亦可涉及基板之僅一部分。另外,對在基板上沉積之提及可意指裸基板及上面沉積或形成有一或多個薄膜或特徵之基板兩者。
如本文中所使用之「基板」係指任何基板或在製造製程期間被執行薄膜處理之基板上形成之材料表面。舉例而言,可被執行處理之基板表面包括諸如以下之材料:矽、氧化矽、應變矽、絕緣體上矽(silicon on insulator; SOI)、摻碳氧化矽、氮化矽、經摻雜矽、鍺、砷化鎵、玻璃、藍寶石,以及任何其他材料,諸如金屬、金屬氮化物、金屬合金以及取決於應用之其他導電材料。基板包括但不限於半導體晶圓。基板可暴露於預處理製程以拋光、蝕刻、還原、氧化、羥基化(或以其他方式產生或接枝靶材化學部分,從而賦予化學官能團)、退火及/或烘烤基板表面。除直接在基板本身之表面上進行薄膜處理之外,在本揭示案中,如下文更詳細地揭示,亦可對形成於基板上之下層執行所揭示之薄膜處理步驟中之任一者,且如上下文所指示,術語「基板表面」意欲包括此類下層。因此,舉例而言,在薄膜/層或部分薄膜/層已沉積至基板表面上之情況下,新沉積之薄膜/層之暴露表面變成基板表面。給定基板表面包含之內容將取決於將沉積什麼樣的薄膜,以及所使用之特定化學。在一或多個實施例中,第一基板表面可包含金屬、金屬氧化物或H封端Six Ge1 -x ,且第二基板表面可包含含有Si的介電質,或反之亦然。在一些實施例中,基板表面可包含某些官能團(例如,-OH、-NH等)。
如在此說明書及隨附申請專利範圍中所使用,術語「活性氣體」、「前驅物」、「反應物」等可互換地用以意指包括與基板表面反應之物種的氣體。舉例而言,第一「活性氣體」僅可吸附至基板之表面上且可用於與第二活性氣體進一步化學反應。
本揭示案之實施例提供選擇性地沉積薄膜至第二表面上方之一個表面上的方法。如在此說明書及隨附申請專利範圍中所使用,術語「在另一表面上方之一個表面上選擇性地沉積薄膜」等意指在第一表面上沉積第一量之薄膜且在第二表面上沉積第二量之薄膜,其中薄膜之第二量小於薄膜之第一量,或者不在第二表面上沉積薄膜。在此點上使用之術語「上方」不暗示一個表面在另一表面之頂部上之實體定向,而是一個表面相對於另一表面之化學反應之熱力學或動力學性質的關係。舉例而言,選擇性地沉積鈷薄膜至介質表面上方之銅表面上意指鈷薄膜沉積在銅表面上且較少或無鈷薄膜沉積在介質表面上;或在銅表面上形成鈷薄膜較之在介質表面上形成鈷薄膜係熱力學上或動力學上更有利的。
本揭示案之實施例併入有阻擋層,稱為自組裝單層(self-assembled monolayer; SAM)。基本上,SAM係藉由活性表面活性劑吸附於固體面上形成之有序總成(ordered assembly)。此等分子通常由對基板具有親合力之一或多個部分(頭部基團)以及相對較長的惰性直鏈烴部分(尾部基團)組成。歸因於表面性質差異,SAM之吸附作用很大程度上取決於基板之材料,亦即,SAM之沉積係選擇性的。不受任何特定操作理論束縛,咸信SAM形成係經由分子頭部基團在基板表面處之快速吸附作用以及分子尾部基團經由凡得瓦相互作用彼此之緩慢結合而發生。SAM前驅物經選擇以使得頭部基團選擇性地與將在沉積期間被阻擋之基板材料反應。
在SAM生長之後,可執行薄膜沉積。SAM之長碳鏈阻擋薄膜生長。因此,薄膜實質上僅在不被SAM覆蓋之區域中生長,從而達成選擇性薄膜沉積。可經由熱分解(與任何副產品脫附)或整合作用相容性灰化製程移除SAM。
在第1A圖至第1C圖中示出理想化傳統選擇性沉積製程流。經圖案化基板包括第一材料10及第二材料20。在第1B圖中,藉由第一材料10上之化學氣相沉積(chemical vapor deposition; CVD),生長SAM 30。在第1C圖中,第三材料40藉由原子層沉積(atomic layer deposition; ALD)沉積於第二材料20上。SAM 30用作犧牲層,以使得第三材料40選擇性沉積在第二材料20上,而幾乎沒有沉積在第一材料10上。
作為選擇性沉積的起始條件,SAM 30層之品質對選擇性起決定作用。存在兩個可使SAM品質及沉積選擇性降級之主要問題。如第2A圖中所示,可在第一材料10上之SAM 30生長期間,在第二材料20上產生奈米大小粒子25,且可在SAM 30中形成裝填缺陷15。另外,可在形成SAM 30期間,在第二材料20上形成粒子25。如在第2B圖中所說明,SAM阻止ALD生長,但可在SAM裝填缺陷15區域內部發生生長45。裝填缺陷15產生有關第一材料10上之SAM阻擋能力的問題。另外,粒子25可干擾第三材料40在第二材料20上之沉積。第2C圖示出生長45,其中在移除犧牲性SAM層之後,存在SAM缺陷。此等缺陷(亦即,第一材料10上之生長及第二材料20上之粒子25)可導致電路電短路或斷路,從而導致裝置良率損失。
因此,本揭示案之一或多個實施例提供形成SAM阻擋層且無缺陷或缺陷較少的製程。一些實施例提供沉積SAM阻擋層以減少不被阻擋之表面上之微粒形成的方法。一些實施例提供執行形成SAM阻擋層及在不被阻擋表面上沉積材料之製程。
一般而言,該方法之實施例包括在SAM沉積之前進行晶圓表面電漿處理,且將SAM沉積製程拆分成多個較短階段。在一些SAM沉積階段之間或在每一SAM沉積階段中,可在SAM沉積之前添加熱處理製程。在一些實施例中,電漿處理製程包括引入氫自由基以移除晶圓表面吸附之有機污染物及水蒸汽遠端電漿源,從而活化表面以用於SAM沉積。在電漿處理製程期間,控制晶圓溫度及化學品濃度。在一些實施例中,SAM沉積分解成短暴露。可使用階段性熱處理移除低品質SAM。可藉由阻擋要求判定沉積/處理循環之數目。一般地,更多循環將引起增加的阻擋效能以及循環之間增量改良逐漸降低,此係因表面阻擋變得飽和。
根據一些實施例,經由使用自組裝單層(SAM)阻擋沉積,實現薄膜之選擇性沉積。SAM中之裂紋或缺陷可致使失效電路及裝置良率損失。在一些實施例中,預處理晶圓(或基板)以清洗污染物,並且將晶圓加熱至高於後續SAM步驟之溫度。一些實施例之預清洗製程結束於在表面上添補羥基封端之表面處理。
在預清洗之後,可將晶圓移動至SAM沉積腔室,該SAM沉積腔室具有低於在清洗並移送至SAM沉積腔室期間之晶圓溫度的製程溫度。較之SAM沉積腔室溫度之較高晶圓溫度可有助於阻止冷凝及藉由微粒形成之表面污染。
在一些實施例中,SAM形成製程係SAM反應物暴露亦即暴露於羥基化製程及熱處理之重複圖案。SAM形成製程可重複任何數目次以形成適合的SAM。一些實施例之SAM形成製程結束於SAM暴露,而無隨後加熱或羥基化。
在一些實施例中,當晶圓移動至SAM沉積腔室中時,晶圓溫度保持高於SAM沉積腔室中之條件。晶圓將平衡至SAM沉積腔室中之基座之溫度,其為製程溫度。每一循環可將晶圓再加熱至大於或等於SAM沉積腔室之溫度,以阻止冷凝及微粒形成。
在一些實施例中,在SAM形成完成之後,晶圓直接移動至製程腔室以用於在不含有SAM之表面上形成薄膜。在一或多個實施例中,在介質表面上形成SAM,且在金屬表面上進行後續沉積。
在一些實施例中,藉由在第一表面上形成更均勻SAM,且藉由減少第二表面上之表面缺陷(微粒),改良沉積製程之選擇性。在一些實施例中,經由使用多個SAM沉積製程以及其間的熱處理及表面活化(例如,羥基化),改良選擇性沉積。
第3圖提供根據本揭示案之一或多個實施例之例示性方法100的流程圖。第4A圖至第4C圖說明通過預清洗製程120之具有經暴露第一材料10及經暴露第二材料20之基板。第5A圖至第5H圖說明在SAM形成期間之基板,且第6圖說明在沉積薄膜40之後的基板。第4A圖至第6圖中說明之基板示出一個第一材料10及一個第二材料20。熟習此項技術者將理解,此僅係出於描述目的,且基板可具有多於一個第一材料10及多於一個第二材料20。
在方法100中,在階段110處,提供基板以用於處理。如以此方式所使用,術語「提供」意指將基板置於用於處理的位置中。基板及個別表面可原位(in-situ)形成或可異位(ex-situ)形成且移動至製程腔室或製程環境中。
基板包括第一材料10及第二材料20。第一材料10及第二材料20兩者具有暴露表面,可在該等暴露表面上發生沉積或SAM形成。一些實施例之第一材料10包含介電質。在一些實施例中,第二材料20包含金屬或導電材料。
在第4A圖至第4C圖中說明預清洗製程120。在預清洗製程120中,加熱具有第一材料10及第二材料20之基板。可藉由任何適合的加熱部件來加熱基板,該加熱部件包括但不限於燈、電阻加熱件或感應加熱件。一些實施例之預清洗製程120移除基板上之可能充當成核位置之雜質、污染物及缺陷。第4A圖說明具有第一材料10及第二材料20之基板。第一材料10及第二材料20兩者皆示出為在表面上具有微粒50。
第4B圖說明在加熱器之後之基板,其中已清洗掉第一材料10及第二材料20之微粒50。示出第一材料10之去活化區域12。此等去活化區域12可在加熱之前存在或可由於加熱製程而發生。去活化區域12係具有不利於形成自組裝單層之表面封端之任何區域。如第4C圖中所示,基板暴露於活化劑,以移除去活化區域12。
活化劑可為可將表面封端轉化成有利於SAM形成之封端之任何適合的化合物。在一些實施例中,第一材料10具有羥基封端表面,且去活化區域12係非羥基封端的。舉例而言,清洗製程可致使移除一些表面羥基基團。暴露於活化劑可添補羥基基團,使得胺基取代矽烷可形成緊密裝填之自組裝單層。活化劑暴露及加熱可依序或同時發生。在一些實施例中,在暴露於活化劑之前加熱及清洗基板。
在一些實施例中,預清洗製程120在預清洗腔室中發生。一些實施例之預清洗腔室包括遠端電漿源(remote plasma source; RPS)、自由基來源、基座或加熱器中之一或多者。
一些實施例之清洗製程包括使基板暴露於電漿或自由基中之一或多者。在一些實施例中,基板暴露於包含He、Ar、Ne、Kr、H2 、N2 、H2 O、空氣、O2 、NO或NO2 中之一或多者的電漿。在一些實施例中,基板暴露於在遠端電漿源中使用電漿氣體中之一或多者產生的自由基。在一些實施例中,基板暴露於藉由使He、Ar、Ne、Kr、H2 、N2 、H2 O、空氣、O2 、NO或NO2 中之一或多者橫穿熱絲產生之自由基。
在一些實施例中,活化劑包含水蒸汽。水蒸汽可藉由在運載氣體中同向流動至處理腔室或預清洗腔室中,暴露於基板及第一材料10。在一些實施例中,活化劑與運載氣體、稀釋氣體及/或惰性氣體同向流動。在一或多個實施例中,活化劑包含在遠端電漿源中產生且在預清洗腔室中流動以活化基板表面之水蒸汽。
在預清洗製程120期間,將基板加熱至第一溫度。第一溫度可為大於或等於將在隨後之SAM形成製程中使用之沉積溫度的任何適合的溫度。在一些實施例中,第一溫度在約200℃至約350℃之範圍內。在一些實施例中,第一溫度比SAM沉積溫度高大於或等於約10℃、15℃、20℃、25℃、50℃、75℃或100℃。
預清洗腔室中之壓力可在約1托至約100托之範圍內。基板可暴露於預清洗製程高達或等於約5分鐘、4分鐘、3分鐘、2分鐘、90秒、60秒、45秒或30秒的時間。
在清洗基板之後,可在第一材料10上形成自組裝單層。在一些實施例中,基板自預清洗腔室移動130至SAM沉積腔室以用於形成SAM。在一些實施例中,在與預清洗相同之腔室中形成SAM。
在SAM沉積腔室中,基板暴露於SAM形成製程。可在第二溫度下,藉由使基板暴露於SAM形成製程之複數個循環,繼之以最終暴露於SAM分子,從而在經暴露第一材料10上形成SAM。SAM形成製程之每一循環包含SAM分子暴露140(參見第3圖)。
第二溫度小於或等於約第一溫度,使得在預清洗腔室中將基板加熱至高於SAM沉積腔室中之溫度的溫度。處於較高溫度之基板可移動處於較低溫度且定位於基板支座上之SAM沉積腔室。在基板支座中,基板可快速平衡至基板支座之溫度(亦即,SAM沉積腔室或製程之溫度)。在基板溫度大於SAM沉積腔室之溫度的情況下,減小在基板表面上發生微粒或污染物冷凝的風險或概率,以便使後續SAM形成或沉積不受影響。在一些實施例中,第二溫度在約100℃至約200℃之範圍內,或在約125℃至約175℃之範圍內,或為約150℃。在一些實施例中,第二溫度比第一溫度低大於或等於約10℃、15℃、20℃、25℃、50℃、75℃或100℃的量。
參考第5A圖至第5H圖,可藉由多次暴露於SAM分子31,形成SAM 30。SAM分子可為可在第一表面10上形成自組裝單層的任何適合的分子。在一些實施例中,SAM分子包含具有非極性尾部之胺基取代矽烷。在一些實施例中,非極性尾部包含具有大於或等於約6個碳原子之烷基鏈。在一或多個實施例中,SAM分子包含具有18個碳鏈尾部之胺基取代矽烷。
通常,在一時間段內發生自組裝單層形成,直至發生大致穩態單層形成為止。基板暴露於SAM分子之時間量小於用以達到穩態單層之時間量。在一些實施例中,基板暴露於SAM分子之時間量在達到穩態之時間量之約10%至約90%之範圍內。在一些實施例中,基板暴露於SAM分子之時間量小於或等於達到穩態之時間之約80%、70%、60%、50%、40%、30%、20%或10%。在一些實施例中,對於每一循環,SAM分子暴露於第一材料10達小於或等於約10分鐘、9分鐘、8分鐘、7分鐘、6分鐘、5分鐘、4分鐘、3分鐘、2分鐘、1分鐘、45秒、30秒、25秒、20秒或15秒之時間。
參考第5B圖,第一量之SAM分子吸附至第一材料10上。在一些實施例中,第一量之SAM分子在單層之約10%至約90%之範圍內,或在單層之約20%至約80%之範圍內,或在單層之約30%至約70%之範圍內。在一些實施例中,被吸附之第一量之SAM分子小於或等於單層之約80%、70%、60%、50%、40%、30%、20%或10%。
SAM分子可經良好裝填或分散在整個第一材料10上。在第5B圖中說明之實施例中,SAM分子分散在表面周圍且並未經良好裝填。另外,暴露於SAM分子可在第一材料10上形成去活化區域12。如以此方式所使用,術語「經良好裝填」意指SAM分子31之間的間距或每一單位面積之SAM分子31之密度大於或等於單層之理論間距或密度之60%、70%、80%或90%。
在暴露於SAM分子之後,方法100到達決定150。若隨後係SAM分子暴露之額外循環,則方法移動至加熱160。具有具SAM分子31之部分單層之第一材料10的基板經受加熱製程。加熱製程將基板溫度提高至大於第二溫度之第三溫度。第一材料10表面上之SAM分子31可重新配置且形成SAM分子31之經良好裝填區32,如第5C圖中所示。
在加熱160之後,第一材料10可經受活化170。活化170包含使基板暴露於活化劑,以重新活化去活化區域12,如第5D圖中所示。
加熱160及活化170可與SAM分子暴露在相同腔室中執行或在不同腔室中執行。在一些實施例中,基板自SAM沉積腔室移動至預清洗腔室以用於加熱160及活化170,且接著返回至SAM沉積腔室以用於後續SAM分子暴露140。
方法100重複SAM分子暴露140。如第5E圖中所示,額外SAM分子31吸附至第一材料10上。額外SAM分子31可分散在整個表面上,使得存在經良好裝填分子區域及經鬆散裝填分子區域。第一材料10表面之添加區域亦可變得去活化。第5F圖示出加熱160基板以形成SAM分子之經良好裝填區域32的結果,且第5G圖說明活化170第一材料10以移除任何去活化區域12之效應。
假定決定150跟在第3圖中示出之「否」路徑之後,可重複SAM分子暴露140、加熱160及活化170之循環。該循環重複直至決策點150具有將阻止循環轉至加熱及活化階段之肯定結果為止。第5H圖示出具有第一材料10及第二材料20之基板,在第一材料10上具有經良好裝填SAM 30。存在極少或沒有微粒50,或存在極少或沒有SAM 30之經分散裝填區。
如第6圖中所示,在形成經良好裝填SAM 30之後,基板可經受選擇性沉積製程,其中薄膜40沉積在第二材料20上,且實質上無薄膜沉積在第一材料10上。如以此方式所使用,術語「實質上無薄膜」意指該表面之約5%、4%、3%、2%或1%的面積沉積有薄膜40。
在一些實施例中,薄膜40在不同於SAM沉積腔室之製程腔室中沉積190在第二材料20上。方法100可能包括在最終暴露於SAM分子之後,將基板自SAM沉積腔室移動至選擇性沉積腔室180。選擇性沉積腔室可為任何適合的沉積腔室,包括但不限於化學氣相沉積(CVD)腔室、原子層沉積(ALD)腔室、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition; PECVD)腔室、電漿增強原子層沉積(plasma enhanced atomic layer deposition; PEALD)腔室,或物理氣相沉積(PVD)腔室。
薄膜40可為取決於所實施之製程的任何適合的材料。在一些實施例中,沉積至第二材料20之薄膜40係二氧化鉿薄膜。在一些實施例中,薄膜40係介電質材料。在一些實施例中,薄膜40係高k介電質(k>5)。在一些實施例中,薄膜40係低k介電質(k<=5)。
在沉積薄膜40之後,SAM可留在第一材料10上或被移除。在一些實施例中,如第7圖中所示,在沉積薄膜40之後,自第一材料10移除SAM。可藉由取決於例如所使用之SAM分子或正在形成之裝置之熱預算的任何適合的方法,移除SAM。在一些實施例中,移除SAM包含電漿灰化製程或熱脫附中之一或多者。
第8圖示出執行預清洗、形成SAM及/或選擇性沉積製程之操作中之至少一些的電漿系統800的方塊圖。所說明之系統1800具有處理腔室801。裝納基板803之可移動基座802已定位於處理腔室801中。基座802可包含靜電吸盤(「electrostatic chuck; ESC」、嵌入至ESC中之DC電極,以及冷卻/加熱基底。在一實施例中,基座802充當移動陰極。在一實施例中,ESC包含Al2 O3 材料、Y2 O3 ,或熟習電子元件製造之普通技術者已知之其他陶瓷材料。DC電源供應器804可連接至基座802之DC電極。在一些實施例中,基座801包括能夠將基板之溫度升高至第一溫度的加熱器(未示出)。雖然靜電吸盤說明為基座802,但熟習此項技術者將理解,此僅係例示性的且其他基座類型在本揭示案之範疇內。
如第8圖中所示,可經由開口808裝載基板803且將該基板置於基座802上。系統800包含將一或多種處理氣體812穿過質量流量控制器811輸入至電漿源813的入口。包含噴淋頭814之電漿源813耦接至處理腔室801,以接收用以產生電漿之一或多種氣體812。電漿源813耦接至RF源功率810。電漿源813經由噴淋頭814在處理腔室801中使用高頻率電場自一或多種處理氣體812產生電漿815。電漿815包含電漿粒子,諸如離子、電子、自由基或其任何組合。在一實施例中,電源810在自約400 khz至約162 MHz之頻率下供應自約50 W至約3000 W之功率,以產生電漿815。
電漿偏壓功率805經由RF匹配器807耦接至基座802 (例如,陰極),以激勵電漿。在一實施例中,電漿偏壓功率805提供在約2 MHz至60 MHz之間的頻率下且在特定實施例中,在約13 MHz之頻率下,不大於1000 W之偏壓功率。亦可提供電漿偏壓功率806,例如以提供在自約400 kHz至約60 MHz之頻率下且在特定實施例中,在約60 MHz之頻率下,不大於1000 W之另一偏壓功率。電漿偏壓功率806及偏壓功率805連接至RF匹配器807,以提供雙頻率偏壓功率。在一實施例中,應用於基座802之總偏壓功率係自約10 W到約3000 W。
如第8圖中所示,壓力控制系統809提供壓力至處理腔室801。腔室801具有一或多個排氣口816,以排空當在腔室中進行處理期間產生之揮發性產物。在一實施例中,電漿系統800係電感耦合電漿(inductively coupled plasma; ICP)系統。在一實施例中,電漿系統800係電容耦合電漿(capacitively coupled plasma; CCP)系統。
在一些實施例中,控制系統817耦接至腔室801。控制系統817包含處理器818、耦接至處理器818之溫度控制器819、耦接至處理器818之記憶體820,以及耦接至處理器818之輸入/輸出裝置821。記憶體820可包括暫時性記憶體(例如,隨機存取記憶體)及非暫時性記憶體(例如,儲存裝置)中之一或多者。
在一個實施例中,處理器818具有控制以下中之一或多者的組態:在基板之第一表面上形成SAM;在預清洗腔室中清洗基板,該清洗包含將基板加熱至第一溫度並且將基板暴露於來自電漿源之電漿或來自自由基源之自由基中之一或多者;使活化劑流動至基板;形成SAM包含暴露於SAM分子、加熱基板及暴露於活化劑之多個循環。
控制系統817可經組態以執行如本文中所描述之方法中之至少一些方法,且該控制系統可為軟體或硬體或兩者之組合。電漿系統800可為此項技術中已知之任何類型之高效能處理電漿系統,諸如但不限於蝕刻器、清洗器、爐,或製造電子元件之任何其他電漿系統。
第9圖說明根據本揭示案之一或多個實施例之可用以處理基板之系統900。系統900可被稱為群集工具。系統900包括中心移送站910,在該移送站中具有機器人912。機器人912說明為單葉片機器人;然而,熟習此項技術者將認識到,其他機器人912組態係在本揭示案之範疇內。機器人912經設置以使一或多個基板在連接至中心移送站910的腔室之間移動。
至少一個預清洗腔室920連接至中心移送站910。預清洗腔室920可包括加熱器、自由基源或電漿源中之一或多者。預清洗腔室920與活化劑流體連通。例示性預清洗腔室920在第8圖中說明為電漿系統800。
在一些實施例中,存在兩個連接至中心移送站910之預清洗腔室920。在第9圖中示出之實施例中,預清洗腔室920可充當工廠介面905與中心移送站910之間的通行腔室。工廠介面905可包括一或多個將基板自盒移動至預清洗腔室920之機器人906。機器人912其可將基板自預清洗腔室920移動至系統900內之其他腔室。
SAM沉積930腔室可連接至中心移送站910。SAM沉積腔室930包含裝納基板之基座。SAM沉積腔室930與一或多個活性氣體源流體連通,以提供一或多個活性氣體流至SAM沉積腔室930。SAM沉積腔室之活性氣體包括可用於基板上之單層的SAM分子。
SAM沉積腔室930可為可提供SAM分子流且控制基板之溫度的任何適合的腔室。第8圖中示出之電漿系統800亦可用作SAM沉積腔室930。基板可藉由機器人912通過隔離閥914往返於SAM沉積腔室930移動。
選擇性沉積腔室940亦可連接至中心移送站910。選擇性沉積腔室940可為任何適合的沉積腔室,包括但不限於CVD、ALD、PECVD、PEALD或PVD腔室。在一些實施例中,選擇性沉積腔室940包含ALD腔室。ALD腔室可為時域腔室,其中活性氣體依序暴露於基板,使得在任何給定時間,僅一種活性氣體存在於腔室中。在一些實施例中,ALD腔室係空間ALD腔室,其中活性氣體同時流至處理腔室之單獨區域中,且活性氣體藉由氣幕隔開以阻止活性氣體之間的氣相反應。在空間ALD腔室中,基板在含有各種活性氣體之處理腔室的區域之間移動,以沉積薄膜。
其他製程腔室可連接至中心移送站910。在示出之實施例中,灰化腔室960經由隔離閥914連接至中心移送站910。灰化腔室960可為可在選擇性沉積之後移除SAM之任何適合的腔室。
至少一個控制器950耦接至中心移送站910、預清洗腔室920、SAM沉積腔室930、選擇性沉積腔室940或灰化腔室960。在一些實施例中,存在一個以上連接至個別腔室或站的控制器950,且初級控制處理器耦接至單獨處理器中之每一者以控制系統900。
至少一個控制器950可具有處理器952、耦接至處理器952之記憶體954、耦接至處理器952之輸入/輸出裝置956以及在不同電子部件之間通信之電路958。記憶體954可包括暫時性記憶體(例如,隨機存取記憶體)及非暫時性記憶體(例如,儲存裝置)中之一或多者。
記憶體954可保持可被處理器952操作以控制系統900之參數及部件的指令集。在一些實施例中,控制器950經組態以在基板之第一表面上形成SAM。控制器950可具有各種組態,且每一組態可獨立地儲存在記憶體954中或儲存在單獨記憶體中。
第一組態可控制預清洗腔室920中之基板清洗。第一組態可包括將基板加熱至第一溫度之指令及/或使基板暴露於來自電漿源之電漿或來自自由基源之自由基中之一或多者之指令。第二組態可控制活化劑至基板之流量。第三組態可控制使用機器人912使基板自預清洗腔室920經由中心移送站910至SAM沉積腔室930之移動。第四組態可形成SAM。第四組態可包括用以執行暴露於SAM分子、加熱基板及暴露於活化劑之多個循環的指令。第四組態亦可包括用以使基板在SAM沉積腔室930與預清洗腔室920之間移動以用於不同暴露的指令。第五組態可包括用以在選擇性沉積腔室940中將薄膜選擇性地沉積在基板上的指令。第五組態可包括用以使基板移動至沉積腔室940,加熱腔室中之基板,提供氣體流至腔室及/或在沉積之後移除基板之指令集。第六組態可包括用以自基板表面移除SAM之指令。第六組態可包括用以將基板移動至灰化腔室960並且提供氣體流及溫度以移除SAM的指令。
根據一或多個實施例,基板在形成層之前及/或之後經受處理。此處理可在相同腔室中執行或在一或多個單獨處理腔室中執行。在一些實施例中,基板自第一腔室移動至單獨的第二腔室以用於進一步處理。基板可直接自第一腔室移動至單獨處理腔室,或該基板可自第一腔室移動至一或多個移送腔室,且接著移動至單獨處理腔室。因此,處理設備可包含與移送站連通之多個腔室。此類設備可被稱為「群集工具」或「群集系統」等。
一般地,群集工具係包含執行各種功能之多個腔室的模組化系統,該等功能包括基板中心發現及定向、脫氣、退火、沉積及/或蝕刻。根據一或多個實施例,群集工具至少包括第一腔室及中心移送腔室。中心移送腔室可裝納機器人,該機器人可使基板在處理腔室與裝載閘腔室之間及當中穿梭。移送腔室通常維持在真空條件下且提供使基板自一個腔室穿梭至另一腔室及/或穿梭至定位在群集工具前端之裝載閘腔室的中間階段。可適用於本發明之兩種熟知群集工具係Centura®及Endura®,兩者可自美國加利福尼亞州聖克拉拉市(Santa Clara, Calif)之應用材料公司(Applied Materials, Inc.)獲得。然而,可出於執行如本文中所描述之製程之具體步驟的目的,更改腔室之精確配置及組合。可使用之其他處理腔室包括但不限於循環層沉積(cyclical layer deposition; CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、化學清洗、熱處理諸如RTP、電漿氮化、脫氣、定向、羥基化及其他基板製程。藉由在群集工具上執行腔室中之製程,可避免大氣雜質對基板之表面污染,且在沉積後續薄膜之前無氧化。
根據一或多個實施例,基板持續處於真空或「裝載閘」條件下,且當自一個腔室移動至下一個腔室時不暴露於環境空氣。移送腔室因此低於真空下且在真空壓力下「抽空降壓」。惰性氣體可存在於處理腔室或移送腔室中。在一些實施例中,惰性氣體用作移除一些或所有反應物之淨化氣體。根據一或多個實施例,在沉積腔室之出口處注入淨化氣體,以阻止反應物自沉積腔室移動至移送腔室及/或額外處理腔室。因此,惰性氣體流在腔室之出口處形成簾幕。
在單個基板沉積腔室中處理基板,其中在處理另一基板之前,裝載、處理及卸載單個基板。亦可以類似於傳送帶系統之連續方式處理基板,其中多個基板個別地裝載至腔室之第一部分中,移動穿過腔室且自腔室之第二部分卸載。腔室及相關聯傳送帶系統之形狀可形成直線路徑或曲線路徑。另外,處理腔室可為旋轉料架,其中多個基板繞中心軸移動且在整個旋轉料架路徑中暴露於沉積、蝕刻、退火、清洗等製程。
在處理期間,可加熱或冷卻基板。可藉由任何適合的手段包括但不限於改變基板支座之溫度及使經加熱或冷卻氣體流至基板表面,完成此類加熱或冷卻。在一些實施例中,基板支座包括可受控制以用傳導方式改變基板溫度之加熱器/冷卻器。在一或多個實施例中,加熱或冷卻所採用之氣體(活性氣體或惰性氣體),以局部改變基板溫度。在一些實施例中,加熱器/冷卻器定位於鄰近基板表面之腔室內,從而以對流方式改變基板溫度。
基板在處理期間亦可為靜止或旋轉的。旋轉基板可連續地或在分立步驟中旋轉。舉例而言,基板可在整個製程中旋轉,或基板可在暴露於不同活性氣體或淨化氣體之間少量旋轉。在處理期間(連續地或在步驟中)旋轉基板可有助於藉由使氣流幾何結構中之例如局部變化性的效應減到最少,產生更均勻沉積或蝕刻。
在整個此說明書中對「一些實施例」、「某些實施例」、「一或多個實施例」或「一實施例」之提及意指在本發明之一些實施例中包括結合實施例描述之特定特徵、結構、材料或特性。因此,在整個此說明書中不同處出現片語諸如「在一或多個實施例中」、「在某些實施例中」、「在一些實施例中」或「在一實施例中」未必係指本發明之同一實施例。此外,可在一或多個實施例中以任何適合的方式組合特定特徵、結構、材料或特性。
雖然本文中已參考特定實施例描述本發明,但應理解,此等實施例僅說明本發明之原理及應用。對熟習此項技術者將顯而易見的是,可在不脫離本發明之精神及範疇的情況下對本發明之方法及設備做出各種修改及變化。因此,本發明意欲包括在所附申請專利範圍及其等效物之範疇內的修改及變化。
10‧‧‧第一材料12‧‧‧去活化區域15‧‧‧裝填缺陷/SAM裝填缺陷20‧‧‧第二材料25‧‧‧奈米大小粒子/粒子30‧‧‧自組裝單層31‧‧‧SAM分子32‧‧‧經良好裝填區40‧‧‧第三材料45‧‧‧生長50‧‧‧微粒100‧‧‧方法110‧‧‧階段120‧‧‧預清洗製程130‧‧‧移動140‧‧‧SAM分子暴露150‧‧‧決定160‧‧‧加熱170‧‧‧活化180‧‧‧將基板自SAM沉積腔室移動至選擇性沉積腔室190‧‧‧沉積800‧‧‧電漿系統801‧‧‧處理腔室802‧‧‧基座803‧‧‧基板804‧‧‧DC電源供應器805‧‧‧電漿偏壓功率806‧‧‧電漿偏壓功率807‧‧‧RF匹配器808‧‧‧開口809‧‧‧壓力控制系統810‧‧‧電源811‧‧‧質量流量控制器812‧‧‧氣體813‧‧‧電漿源814‧‧‧噴淋頭815‧‧‧電漿816‧‧‧排氣口817‧‧‧控制系統818‧‧‧處理器819‧‧‧溫度控制器820‧‧‧記憶體821‧‧‧輸入/輸出裝置900‧‧‧系統905‧‧‧工廠介面906‧‧‧機器人910‧‧‧中心移送站912‧‧‧機器人914‧‧‧隔離閥920‧‧‧預清洗腔室930‧‧‧SAM沉積腔室940‧‧‧選擇性沉積腔室950‧‧‧控制器952‧‧‧處理器954‧‧‧記憶體956‧‧‧輸入/輸出裝置958‧‧‧電路960‧‧‧灰化腔室
在上文簡短概述之本揭示案的更特定描述可參考實施例,以便可詳細地理解本揭示案之所述及特徵,在隨附圖式中說明該等實施例中之一些實施例。然而,應注意,隨附圖式僅說明本揭示案之典型實施例且因而不應視為對其範疇之限制,因為本揭示案可承認其他同等有效之實施例。
第1A圖至第1C圖示出理想自組裝單層輔助選擇性沉積製程之示意圖;
第2A圖至第2C圖示出習知自組裝單層輔助選擇性沉積製程之示意圖;
第3圖說明根據本揭示案之一或多個實施例之自組裝單層輔助選擇性沉積方法之流程圖;
第4A圖至第4C圖示出根據本揭示案之一或多個實施例之預清洗製程之示意圖;
第5A圖至第5H圖示出根據本揭示案之一或多個實施例之SAM形成製程之示意性表示;
第6圖示出根據本揭示案之一或多個實施例之經由自組裝單層輔助選擇性沉積之基板之示意圖;
第7圖示出根據本揭示案之一或多個實施例的在選擇性沉積之後且在移除SAM之後的基板之示意圖;
第8圖係根據本揭示案之一或多個實施例之製程腔室之方塊圖;及
第9圖係根據本揭示案之一或多個實施例之群集工具系統之方塊圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
10‧‧‧第一材料
20‧‧‧第二材料
30‧‧‧自組裝單層
40‧‧‧第三材料

Claims (16)

  1. 一種自組裝單層輔助選擇性沉積方法,包含以下步驟:提供具有一經暴露第一材料及一經暴露第二材料之一基板;使該基板暴露於在一預清洗腔室中的一預清洗製程,該預清洗製程包含將該基板加熱至一第一溫度,清洗該基板之污染物以及活化該第一材料之一表面,以促進在該經暴露第一材料上形成一自組裝單層(SAM);使該基板自該預清洗腔室移動至一SAM沉積腔室;在一第二溫度下,藉由使該基板暴露於一SAM形成製程之複數個循環,繼之以最終暴露於包含具有18個碳鏈尾部之一胺基取代矽烷之一SAM分子,在該經暴露第一材料上形成一SAM,該SAM形成製程之每一循環包含使該基板暴露於該SAM分子,繼之以加熱該基板以及重新活化該表面;將一薄膜選擇性地沉積於該經暴露第二材料上。
  2. 如請求項1所述之方法,其中該第一溫度在約200℃至約350℃之範圍內。
  3. 如請求項1所述之方法,其中該預清洗製程 另外包含使該基板暴露於電漿或自由基中之一或多者。
  4. 如請求項1所述之方法,其中該預清洗腔室具有在約1托至約100托之範圍內的一壓力。
  5. 如請求項1所述之方法,其中活化該表面包含使該基板暴露於一活化劑,該活化劑在第一暴露表面上產生羥基封端。
  6. 如請求項5所述之方法,其中該活化劑包含水蒸汽。
  7. 如請求項6所述之方法,其中該水蒸汽係由一遠端電漿源提供。
  8. 如請求項1所述之方法,其中該第二溫度小於該第一溫度。
  9. 如請求項1所述之方法,其另外包含在最終暴露於該SAM分子之後,自該SAM沉積腔室移動該基板。
  10. 如請求項9所述之方法,其中沉積在該經暴露第二材料上之該薄膜包含二氧化鉿。
  11. 如請求項1所述之方法,其另外包含移除該SAM。
  12. 如請求項11所述之方法,其中移除該SAM包含一電漿灰化製程或熱脫附中之一或多者。
  13. 一種自組裝單層輔助選擇性沉積方法,包含以下步驟:(a)提供具有一經暴露第一材料及一經暴露第二材料之一基板;(b)使該基板暴露於一預清洗腔室中的一預清洗製程,該預清洗製程包含將該基板加熱至一第一溫度,清洗該基板之污染物,以及使該第一材料暴露於一包含水蒸汽之活化劑,以促進在該經暴露第一材料上形成一自組裝單層(SAM);(b)(1)使該基板自該預清洗腔室移動至一SAM沉積腔室;(c)使該基板在小於該第一溫度之一第二溫度下暴露於包含具有18個碳鏈尾部之一胺基取代矽烷之一SAM分子,以在該第一材料之表面上形成一SAM之一部分;(d)將該基板加熱至大於該第二溫度之一溫度;(e)使該基板暴露於一活化劑,以重新活化該第一材料之暴露表面;(f)重複(c)至(e);(g)將該SAM分子最終暴露於該基板,以形成該SAM;及(h)將一薄膜選擇性地沉積於該經暴露第二材料 上。
  14. 如請求項13所述之方法,其中該預清洗製程在約200℃至約350℃之範圍內的一第一溫度下在該預清洗腔室中發生,且該預清洗製程另外包含使該基板暴露於電漿或自由基中之一或多者。
  15. 如請求項13所述之方法,其另外包含(i)藉由使該SAM暴露於一電漿灰化製程或熱脫附中之一或多者,移除該SAM。
  16. 一種製造一電子元件之系統,該系統包含:一中心移送站,包含使一或多個基板在連接至該中心移送站之腔室之間移動的一機器人;一預清洗腔室,連接至該中心移送站,該預清洗腔室包含一加熱器、自由基源或電漿源中之一或多者,該預清洗腔室與一活化劑流體連通;一SAM沉積腔室,連接至該中心移送站,該SAM沉積腔室包含裝納一基板之一基座,該SAM沉積腔室與一或多個活性氣體源流體連通以提供一或多個活性氣體流至該SAM沉積腔室;及至少一個處理器,耦接至該中心移送站、該預清洗腔室或該SAM沉積腔室,該至少一個處理器具有控制在一基板之一第一表面上形成一SAM之一或多個 組態,一第一組態控制在該預清洗腔室中清洗一基板,該清洗包含將該基板加熱至一第一溫度且使該基板暴露於來自該電漿源之一電漿或來自該自由基源之自由基中之一或多者,一第二組態控制該活化劑流至該基板,一第三組態控制使用該機器人使該基板自該預清洗腔室經由該中心移送站移動至該SAM沉積腔室,以及一第四組態形成一SAM,形成一SAM包含暴露於包含具有18個碳鏈尾部之一胺基取代矽烷之一SAM分子、加熱該基板以及暴露於一活化劑之多個循環。
TW107119442A 2017-06-14 2018-06-06 用於達成無缺陷自組裝單層的晶圓處理 TWI816676B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762519834P 2017-06-14 2017-06-14
US62/519,834 2017-06-14

Publications (2)

Publication Number Publication Date
TW201905971A TW201905971A (zh) 2019-02-01
TWI816676B true TWI816676B (zh) 2023-10-01

Family

ID=64657620

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112132704A TW202401527A (zh) 2017-06-14 2018-06-06 用於達成無缺陷自組裝單層的晶圓處理
TW107119442A TWI816676B (zh) 2017-06-14 2018-06-06 用於達成無缺陷自組裝單層的晶圓處理

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112132704A TW202401527A (zh) 2017-06-14 2018-06-06 用於達成無缺陷自組裝單層的晶圓處理

Country Status (3)

Country Link
US (2) US10770292B2 (zh)
TW (2) TW202401527A (zh)
WO (1) WO2018232103A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10513432B2 (en) 2017-07-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Anti-stiction process for MEMS device
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10643840B2 (en) * 2017-09-12 2020-05-05 Applied Materials, Inc. Selective deposition defects removal by chemical etch
WO2019169335A1 (en) * 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
CN112997292A (zh) * 2018-11-13 2021-06-18 东京毅力科创株式会社 抑制晶圆上的缺陷、金属颗粒污染和膜生长的系统和方法
US11738366B2 (en) 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
EP3733928B1 (en) * 2019-03-29 2023-01-18 Picosun Oy Sample protection
US10777411B1 (en) 2019-05-31 2020-09-15 International Business Machines Corporation Semiconductor device with selective dielectric deposition
JP7262354B2 (ja) * 2019-09-24 2023-04-21 東京エレクトロン株式会社 成膜方法
US20210375600A1 (en) * 2020-06-02 2021-12-02 Applied Materials, Inc. Self-assembled monolayer deposition from low vapor pressure organic molecules
JP7204718B2 (ja) * 2020-09-29 2023-01-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP7305700B2 (ja) * 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2023049012A1 (en) * 2021-09-23 2023-03-30 Lam Research Corporation Remote plasma deposition with electrostatic clamping
JP2024047208A (ja) * 2022-09-26 2024-04-05 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理システム、およびプログラム
JP2024064500A (ja) * 2022-10-28 2024-05-14 東京エレクトロン株式会社 成膜方法および成膜装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110198736A1 (en) * 2010-02-17 2011-08-18 Asm America, Inc. Reactive site deactivation against vapor deposition
US20120288626A1 (en) * 2002-07-17 2012-11-15 Massachusetts Institute Of Technology Templated Monolayer Polymerization and Replication
US20160343580A1 (en) * 2014-12-04 2016-11-24 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20170062210A1 (en) * 2015-09-01 2017-03-02 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
TW201716617A (zh) * 2015-10-21 2017-05-16 精微超科技公司 使用自組裝單層形成ald抑制層之方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10117956B4 (de) * 2001-04-10 2004-04-08 Schott Glas Berührungsschalter mit einer Bedienfläche
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
JP4909745B2 (ja) * 2007-01-17 2012-04-04 シャープ株式会社 有機薄膜の形成方法および有機薄膜形成装置
US20130210238A1 (en) * 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9895715B2 (en) * 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
JP6263450B2 (ja) * 2014-07-24 2018-01-17 東京エレクトロン株式会社 有機単分子膜形成方法
JP6692443B2 (ja) * 2016-03-03 2020-05-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 断続的な空気−水暴露による自己組織化単分子膜のブロッキングの改良

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120288626A1 (en) * 2002-07-17 2012-11-15 Massachusetts Institute Of Technology Templated Monolayer Polymerization and Replication
US20110198736A1 (en) * 2010-02-17 2011-08-18 Asm America, Inc. Reactive site deactivation against vapor deposition
US20160343580A1 (en) * 2014-12-04 2016-11-24 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20170062210A1 (en) * 2015-09-01 2017-03-02 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
TW201716617A (zh) * 2015-10-21 2017-05-16 精微超科技公司 使用自組裝單層形成ald抑制層之方法

Also Published As

Publication number Publication date
TW202401527A (zh) 2024-01-01
WO2018232103A1 (en) 2018-12-20
US20180366317A1 (en) 2018-12-20
TW201905971A (zh) 2019-02-01
US11735420B2 (en) 2023-08-22
US20200402792A1 (en) 2020-12-24
US10770292B2 (en) 2020-09-08

Similar Documents

Publication Publication Date Title
TWI816676B (zh) 用於達成無缺陷自組裝單層的晶圓處理
US10319582B2 (en) Methods and apparatus for depositing silicon oxide on metal layers
US9653318B2 (en) Directional SiO2 etch using plasma pre-treatment and high-temperature etchant deposition
CN110226214B (zh) 用于介电膜的选择性沉积的方法及设备
US9202745B2 (en) Directional SiO2 etch using low-temperature etchant deposition and plasma post-treatment
TWI605146B (zh) 形成可伸展性鎢膜與可壓縮性鎢膜的方法
JP4480516B2 (ja) バリア膜の形成方法
JP7242837B2 (ja) 選択的な酸化アルミニウム膜の堆積
JP7094367B2 (ja) ルテニウムの選択的原子層堆積
JP2018512504A (ja) 自己組織化単分子膜を用いた選択的誘電体堆積のための方法
WO2016130238A1 (en) Selectively lateral growth of silicon oxide thin film
JP2007115797A (ja) 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
JP2022109293A (ja) 被処理体を処理する方法
TW201810414A (zh) 氧化膜去除方法、去除裝置、接點形成方法、接點形成系統及記憶媒體
JP2017531921A (ja) 2層aldを用いた正確な限界寸法制御
WO2012018010A1 (ja) 基板処理方法および基板処理装置
WO2018049166A1 (en) In-situ pre-clean for selectivity improvement for selective deposition
CN115003854A (zh) 金属膜的沉积
WO2020189288A1 (ja) 成膜方法および成膜装置
CN110622283A (zh) 减少或消除钨膜中缺陷的方法
WO2020055938A1 (en) Method of forming via with embedded barrier
JP6951548B2 (ja) 金属酸化物の後処理の方法
WO2024070685A1 (ja) 成膜方法、成膜装置、および成膜システム
US11359281B2 (en) Selective deposition of SiCON by plasma ALD
TW202335080A (zh) 形成金屬氮化物膜之方法