JP2018512504A - 自己組織化単分子膜を用いた選択的誘電体堆積のための方法 - Google Patents

自己組織化単分子膜を用いた選択的誘電体堆積のための方法 Download PDF

Info

Publication number
JP2018512504A
JP2018512504A JP2017544636A JP2017544636A JP2018512504A JP 2018512504 A JP2018512504 A JP 2018512504A JP 2017544636 A JP2017544636 A JP 2017544636A JP 2017544636 A JP2017544636 A JP 2017544636A JP 2018512504 A JP2018512504 A JP 2018512504A
Authority
JP
Japan
Prior art keywords
self
assembled monolayer
substrate
organosilane
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2017544636A
Other languages
English (en)
Inventor
タパシュ チャクラボルティ,
タパシュ チャクラボルティ,
プレルナ ゴーラディア,
プレルナ ゴーラディア,
ロバート ヤン フィッサー,
ロバート ヤン フィッサー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018512504A publication Critical patent/JP2018512504A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/18Processes for applying liquids or other fluent materials performed by dipping
    • B05D1/185Processes for applying liquids or other fluent materials performed by dipping applying monomolecular layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/52Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

自己組織化単分子膜(SAM)を用いた選択的誘電体堆積のための方法が、本明細書に提供される。露出したケイ素表面および露出したケイ素含有表面を有する基板の上に低誘電率誘電体層を選択的に堆積させる方法は、(a)セ氏約300度より高い第1の温度で熱的に安定であるオルガノシラン系の自己組織化単分子膜を、露出したケイ素含有表面の上に成長させることと、(b)基板の露出したケイ素表面の上に低誘電率誘電体層を選択的に堆積させることであって、オルガノシラン系の自己組織化単分子膜が、ケイ素含有表面上での低誘電率誘電体層の堆積を抑制する、堆積させることと、を含む。【選択図】図1

Description

本開示の実施形態は、概して、自己組織化単分子膜を用いる選択的誘電体堆積のための方法に関する。
選択的原子層堆積(ALD)および化学気相堆積(CVD)プロセスは、デバイスの寸法縮小のペースについていききながら、従来のリソグラフィに伴うステップの数およびコストを有利に低減することができる。金属誘電体パターンにおける選択的ケイ素系誘電体堆積は、バックエンドオブライン(BEOL)アプリケーションにおいて高い潜在価値を有する。これまでに現れたいくつかの代替的な選択的ケイ素系誘電体堆積技術は、テンプレート制御成長、ホログラフィックベースのリソグラフィなどである。しかしながら、これらの代替技法のいずれも、スループット、スケール、欠陥の問題などの制限のために完全な解決策を提供しない。
したがって、本発明者らは、自己組織化単分子膜を犠牲および核生成抑制層として用いる選択的誘電体堆積のための改良された方法および装置を開発した。
自己組織化単分子膜(SAM)を用いた選択的堆積のための方法が、本明細書に提供される。いくつかの実施形態では、露出したケイ素表面および露出したケイ素含有表面を有する基板の上に低誘電率誘電体層を選択的に堆積させる方法は、(a)セ氏約300度より高い第1の温度で熱的に安定であるオルガノシラン系自己組織化単分子膜を、露出したケイ素含有表面の上に成長させることと、(b)基板の露出したケイ素表面の上に低誘電率誘電体層を選択的に堆積させることであって、オルガノシラン系自己組織化単分子膜が、ケイ素含有表面上での低誘電率誘電体層の堆積を抑制する、堆積させることと、を含む。
いくつかの実施形態では、露出した金属表面および露出したケイ素含有表面を有する基板の上に層を選択的に堆積させる方法は、(a)露出した金属表面の上に第1の自己組織化単分子膜を成長させることと、(b)露出したケイ素含有表面の上に、オルガノシラン系である第2の自己組織化単分子膜を成長させることと、(c)基板をセ氏約200度〜約300度の温度に加熱して、露出した金属表面の上から第1の自己組織化単分子膜を除去することと、(d)低誘電率誘電体層または金属層である層を、露出した金属表面の上に選択的に堆積させることと、(e)基板をセ氏約500度〜約1000度の温度に加熱して、露出したケイ素含有表面の上から第2の自己組織化単分子膜を除去することと、を含む。
本開示の他のおよびさらなる実施形態を以下に記載する。
上で簡潔に要約し、以下により詳細に説明する本開示の実施形態は、添付の図面に示された本開示の例示的な実施形態を参照することによって理解することができる。添付の図面は、本開示の典型的な実施形態のみを示しているので、本開示は他の同等に有効な実施形態を許容することができるため、範囲の限定とみなされるべきではない。
本開示のいくつかの実施形態による、化学気相堆積プロセスまたは原子層堆積プロセスを実行するのに適したプロセスチャンバを示す。 本開示のいくつかの実施形態による選択的堆積方法のフローチャートを示す。 本開示のいくつかの実施形態による、図2の処理シーケンスの異なる段階の間の基板の例示的な断面図である。 本開示のいくつかの実施形態による選択的堆積方法のフロー図である。 本開示のいくつかの実施形態による、図4の処理シーケンスの異なる段階の間の基板の例示的な断面図である。
理解を容易にするために、可能な場合には、図に共通の同一の要素を示すために、同一の参照番号を使用している。図面は縮尺通りに描かれておらず、分かりやすくするために簡略化されている場合がある。一実施形態の要素および特徴は、さらなる説明なしに他の実施形態に有益に組み込むことができる。
自己組織化単分子膜(SAM)を用いた選択的誘電体堆積のための方法が、本明細書に提供される。いくつかの実施形態では、本明細書に記載の本発明の方法は、自己組織化単分子膜を用いた選択的誘電体堆積または選択的金属堆積の革新的な方法を有利に提供する。自己組織化単分子膜(SAM)は、パターン形成された基板上に選択的に成長し、核形成を選択的に抑制することによって選択的な堆積を可能にする。
図2は、本開示のいくつかの実施形態による、露出したケイ素表面および露出したケイ素含有表面を有する基板を処理する方法200のフロー図である。図3A〜図3Dは、本開示のいくつかの実施形態による、図2の処理シーケンスの異なる段階の間の基板の例示的な断面図である。本発明の方法は、原子層堆積(ALD)または化学気相堆積(CVD)用に構成されたプロセスチャンバ、例えば図1に関して後述するプロセスチャンバ内で実行することができる。
方法200は、図3Aに示すように、露出したケイ素表面302と露出したケイ素含有表面304とを有する基板300上で実行される。ケイ素含有表面304は、ケイ素表面302と同じではない。ケイ素含有表面304は、二酸化ケイ素、窒化ケイ素、または酸窒化ケイ素(SiON)であってもよい。いくつかの実施形態では、基板300は、200または300mm半導体ウェハなどの半導体ウェハであってもよい。他のサイズおよび形状寸法の基板を使用することもできる。
方法200は、202で開始し、図3Bに示すように、露出したケイ素含有表面304の上にオルガノシラン系の自己組織化単分子膜(自己組織化単分子膜306)を堆積させることによって開始する。自己組織化単分子膜306は、セ氏約300度より高い第1の温度、例えばセ氏約300度から約500度の温度で熱的に安定であるように選択される。第1の温度で熱的に安定な自己組織化単分子膜306を選択することによって、典型的にはセ氏300度未満の温度で実行される化学気相堆積(CVD)または原子層堆積(ALD)プロセスによるその後の誘電体層の堆積は、自己組織化単分子膜306を分解しない。
オルガノシラン系の自己組織化単分子膜306を成長させることは、基板300を液体オルガノシランを含む溶液に暴露することを含む。好適なオルガノシランは、後の段階できれいに除去することができるコンパクトで、欠陥のない、熱的に安定で、化学的に不活性なバリアを形成するために長アルキル鎖を有する。好適なオルガノシランは、C8〜C30鎖を有し、C8からC30を超えるまでの鎖を有する全ての対応する同族体を含む。例示的な好適なオルガノシランには、限定されないが、オクタデシルトリクロロシラン(ODTS)、トリメトキシ(オクタデシル)シラン(ODTMS)、クロロ(ジメチル)オクタデシルシラン(CDODS)、またはトリクロロ(1H、1H、2H、2H−パーフルオロオクチル)シラン(PFTS)が含まれる。上で列挙した、オルガノシラン分子を選択する基準の1つは、自己組織化単分子膜の熱安定性である。後に堆積される誘電体層の堆積温度で熱的に安定な自己組織化単分子膜を選択することにより、後に堆積される誘電体層の堆積温度での自己組織化単分子膜306の分解が回避される。例えば、二酸化ケイ素(SiO)上のODTSは、少なくともセ氏500度まで熱安定性がある。したがって、ODTS自己組織化単分子膜は、ALDプロセスによる二酸化ケイ素(SiO)または窒化ケイ素(SiN)などの誘電体材料の堆積中に分解しない。したがって、ODTS−SiO SAMの熱安定性は、温度適合性の限界を拡大する。
この溶液は、トルエン、ヘキサン、シクロヘキサンまたはジエチルエーテルなどの溶媒をさらに含む。いくつかの実施形態において、溶液は、約1ミリモル〜約10ミリモルのオルガノシランを有する溶媒を含む。基板300を溶液中に約2〜約3時間浸漬して、露出したケイ素含有表面304の上に自己組織化単分子膜306を形成する。オルガノシラン分子は、酸化ケイ素(SiO)表面の酸化物、または窒化ケイ素(SiN)表面の窒化物、または酸窒化ケイ素(SiON)表面の酸化物および窒化物に対して化学的親和性を有する(すなわち反応性および選択性を有する)。したがって、自己組織化単分子膜306は、露出したケイ素含有表面304上にのみ形成されるが、露出したケイ素表面302上には形成されない。基板300は、自己組織化単分子膜306を堆積させた後、吸収されていないオルガノシラン分子を除去するために、溶媒、例えば上記の溶媒でリンスされる。
いくつかの実施形態では、露出したケイ素表面302は、露出したケイ素表面302上に形成された自然酸化物層を有してもよい。いくつかの実施形態では、自己組織化単分子膜306を堆積させる前に、自然酸化物層が除去される。自然酸化物層が基板から除去される実施形態では、SICONI(商標)前洗浄プロセスが、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials,Inc.)から入手可能なSICONI(商標)技術を利用するプロセスチャンバなどの適切なチャンバ内で実施されてもよい。そのような実施形態において、基板300は、2つの部分からなるドライ化学洗浄プロセスでフッ素含有前駆体および水素含有前駆体に暴露されてもよい。いくつかの実施形態では、フッ素含有前駆体は、三フッ化窒素(NF)、フッ化水素(HF)、二原子フッ素(F)、単原子フッ素(F)およびフッ素置換炭化水素、それらの組み合わせなどを含んでもよい。いくつかの実施形態では、水素含有前駆体は、原子水素(H)、二原子水素(H)、アンモニア(NH)、炭化水素、不完全ハロゲン置換炭化水素、それらの組み合わせなどを含んでもよい。いくつかの実施形態では、2つの部分からなるプロセスの第1の部分は、遠隔プラズマ源を使用して、フッ素含有前駆体(例えば、三フッ化窒素(NF))および水素含有前駆体(例えば、アンモニア(NH))からエッチャント種(例えばフッ化アンモニウム(NHF))を生成することを含むことができる。遠隔プラズマ源を使用することによって、基板の損傷を最小限に抑えることができる。次いで、エッチャント種は、前洗浄チャンバに導入され、自然酸化物層との反応によって基板表面上の固体副生成物に凝縮される。次いで、in−situアニールを行って、副生成物を分解することができる。その後、副生成物は昇華し、ガスの流れによって基板表面から除去され、前洗浄チャンバから排出され得る。
次に、204で、図3Cに示すように、低誘電率誘電体層308が、基板300の露出したケイ素表面302の上に選択的に堆積する。いくつかの実施形態では、低誘電率誘電体層は、任意の適切な原子層堆積プロセスまたは化学層堆積プロセスによって堆積される。ケイ素含有表面304上の自己組織化単分子膜306の存在は、ケイ素含有表面304上の低誘電率誘電体層の形成を抑制し、一方で、自己組織化単分子膜306の熱安定性が、低誘電率誘電体層の堆積温度(例えば、セ氏約300度未満)での自己組織化単分子膜306の分解を防止する。低誘電率誘電体層308は、半導体デバイス製造に適した任意の低誘電率誘電体材料を含むことができる。例えば、いくつかの実施形態では、低誘電率誘電体層308は、例えば酸化ケイ素(SiO)などのケイ素含有材料を含むことができる。本明細書で使用する低誘電率誘電体層308は、約3.9未満(例えば、約2.5〜約3.5)の低誘電率値を有してもよい。
次に、図3Dに示すように、基板300は、セ氏約500度より高い温度、例えばセ氏約500度〜約1000度の温度に加熱されて、露出したケイ素含有表面304上から自己組織化単分子膜306を除去する。自己組織化単分子膜306の除去に続いて、方法200は終了し、基板は、電界効果トランジスタ(FET)、フィン電界効果トランジスタ(FinFET)、フラッシュメモリデバイス、3D FINFETデバイスなどの半導体デバイスの完成のために必要に応じて、更に処理されてもよい。
図4は、本開示のいくつかの実施形態による、露出した金属表面および露出したケイ素含有表面を有する基板を処理する方法400のフロー図である。図5A〜図5Fは、本開示のいくつかの実施形態による、図4の処理シーケンスの種々の段階における基板の例示的な断面図である。本発明の方法は、原子層堆積(ALD)または化学気相堆積(CVD)用に構成されたプロセスチャンバ、例えば図1に関して後述するプロセスチャンバ内で実行することができる。
方法400は、図5Aに示すように、露出した金属表面502と露出したケイ素含有表面504とを有する基板500上で実行される。露出した金属表面502は、銅またはコバルトであってもよい。ケイ素含有表面504は、二酸化ケイ素、窒化ケイ素、または酸窒化ケイ素(SiON)であってもよい。いくつかの実施形態では、基板500は、200または300mm半導体ウェハなどの半導体ウェハであってもよい。他のサイズおよび形状寸法の基板を使用することもできる。
この方法は、露出した金属表面502の上に第1の自己組織化単分子膜506を成長させることによって、402で、図5Bに示すように開始する。第1の自己組織化単分子膜506を成長させることは、基板500を溶液中に約2〜約3時間浸漬して、露出した金属表面502の上に第1の自己組織化単分子膜506を形成することを含む。この溶液は、溶媒および長鎖(すなわち、C8〜C30鎖であり、C8からC30を超えるまでの鎖を有する全ての対応する同族体を含む。)アルキルチオール、長鎖有機ホスホン酸または長鎖スルホン酸(すなわち、SAM前駆体)を含む。例示的な適切なSAM前駆体としては、オクタデシルチオール、オクタデシルホスホン酸、およびオクタデシルスルホン酸が挙げられるが、これらに限定されない。例示的な溶媒としては、エタノールまたはテトラヒドロフラン(THF)が挙げられるが、これらに限定されない。いくつかの実施形態において、溶液は、約1ミリモル〜約10ミリモルのSAM前駆体を有する溶媒を含む。SAM前駆体分子は、金属表面に対して化学的親和性を有する(すなわち、反応性および選択性を有する)ため、露出した金属表面502上にのみ第1の自己組織化単分子膜506を形成し、露出したケイ素含有表面504上には形成しない。次いで、基板500は、第1の自己組織化単分子膜506を堆積した後に、溶媒、例えば上記の溶媒でリンスされて、吸収されていないSAM前駆体分子を除去する。
次に、404において、図5Cに示すように、第2の自己組織化単分子膜508が、露出したケイ素含有表面504の上に堆積する。第2の自己組織化単分子膜508は、方法200に関して上述したように、オルガノシラン系である。第2の自己組織化単分子膜508は、方法200に関して上述したように、堆積される。しかし、第2の自己組織化単分子膜508は、ケイ素含有表面504のみに選択的ではなく、露出した金属表面502と反応することができる。したがって、第1の自己組織化単分子膜506の存在は、第2の自己組織化単分子膜508と露出した金属表面502との反応を防止する。
次に、406において、図5Dに示すように、基板500をセ氏約200〜約300度の温度に加熱して、金属表面502の上から第1の自己組織化単分子膜506を除去する。第2の自己組織化単分子膜508は、第1の自己組織化単分子膜506よりも高い温度で熱的に安定である。したがって、第2の自己組織化単分子膜508は、第1の自己組織化単分子膜506が金属表面502の上から除去される温度では分解しない。
次に、408で、図5Eに示すように、層510が、露出した金属表面502の上に選択的に堆積する。いくつかの実施形態では、層510は、低誘電率誘電体層である。低誘電率誘電体層は、半導体デバイス製造に適した任意の低誘電率誘電体材料を含むことができる。例えば、いくつかの実施形態では、低誘電率誘電体層は、例えば酸化ケイ素(SiO)などのケイ素含有材料を含むことができる。本明細書で使用する低誘電率誘電体層308は、約3.9未満(例えば、約2.5〜約3.5)の低誘電率値を有してもよい。いくつかの実施形態では、層510は、銅、タングステン、チタンまたはニッケルなどの金属層である。いくつかの実施形態では、層510は、任意の適切な原子層堆積プロセスまたは化学層堆積プロセスによって堆積される。ケイ素含有表面504の上に第2の自己組織化単分子膜508が存在することにより、ケイ素含有表面504上に層510が形成されるのが抑制され、一方で、第2の自己組織化単分子膜508の熱安定性は、層510の堆積温度における第2の自己組織化単分子膜508の分解を防止する。
次に、410において、図5Fに示すように、第2の自己組織化単分子膜508をケイ素含有表面504の上から除去するために、基板500をセ氏約500〜約1000度の温度に加熱する。第2の自己組織化単分子膜508の除去に続いて、方法400は終了し、基板は、電界効果トランジスタ(FET)、フィン電界効果トランジスタ(FinFET)、フラッシュメモリデバイス、3D FINFETデバイスなどの半導体デバイスの完成のために必要に応じて、更に処理されてもよい。
図1は、本明細書で論じるような本開示の実施形態を実施するために使用され得る種類の例示的装置100の概略図を示す。装置100は、コントローラ150、およびプロセスチャンバ102の内部空間105から余分なプロセスガス、処理副生成物などを除去するための排気システム120を有するプロセスチャンバ102を備えることができる。例示的なプロセスチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社(Applied Materials,Inc.)から入手可能な原子層堆積(ALD)または化学気相堆積(CVD)用に構成されたいくつかのプロセスチャンバのうちの任意のチャンバを含むことができる。他の製造業者からの他の適切なプロセスチャンバも同様に使用することができる。
プロセスチャンバ102は、処理空間104を含むことができる内部空間105を有する。処理空間104は、例えば、処理中に基板110を支持するためのプロセスチャンバ102内に配置された基板支持体108と、所定の位置に設けられたシャワーヘッド114および/またはノズルなどの1つ以上のガス注入口との間に画定されてもよい。いくつかの実施形態では、基板支持体108は、静電チャック、真空チャック、基板保持クランプなど(図示せず)の、基板支持体108の表面上に基板110を保持または支持する機構を含むことができる。いくつかの実施形態では、基板支持体108は、基板温度を制御する機構(加熱および/または冷却装置など、図示せず)および/または基板表面に近接する種フラックスおよび/またはイオンエネルギーを制御する機構を含むことができる。
例えば、いくつかの実施形態では、基板支持体108は、RFバイアス電極140を含むことができる。RFバイアス電極140は、1つ以上のそれぞれの整合回路(図示の整合回路136)を介して、1つ以上のバイアス電源(図示の1つのバイアス電源138)に結合することができる。1つ以上のバイアス電源は、約2MHzから約60MHzの周波数、例えば約2MHz、または約13.56MHz、または約60MHzで1200WまでのRFエネルギーを生成することができる。いくつかの実施形態では、2つのバイアス電源が、それぞれ約2MHzおよび約13.56MHzの周波数でそれぞれの整合回路を介してRFバイアス電極140にRF電力を結合するために、設けられてもよい。少なくとも1つのバイアス電源が、連続的な電力またはパルス電力のいずれかを供給してもよい。あるいは、いくつかの実施形態では、バイアス電源は、DCまたはパルスDC電源であってもよい。
基板110は、プロセスチャンバ102の壁の開口部112を介してプロセスチャンバ102に入ることができる。開口部112は、スリットバルブ118、または開口部112を通ってチャンバの内部へのアクセスを選択的に提供するための他の機構によって選択的に封止されてもよい。基板支持体108は、リフト機構134に結合されてもよく、リフト機構134は、基板支持体108の位置を、開口部112を介して基板をチャンバに出し入れするのに適した下部位置(図示)と、処理に適した選択可能な上部位置との間で制御することができる。プロセス位置は、特定のプロセスに対してプロセス均一性を最大にするように選択することができる。上昇した処理位置のうちの少なくとも1つにあるとき、基板支持体108は、開口部112より高く配置されて、対称的な処理領域を提供することができる。
1つ以上のガス注入口(例えば、シャワーヘッド114)が、マスフローコントローラ117を介してプロセスチャンバ102の処理空間104に1つ以上のプロセスガスを供給するために、ガス供給源116に結合されてもよい。さらに、1つ以上のバルブ119を設けて、1つ以上のプロセスガスの流れを制御することができる。マスフローコントローラ117および1つ以上のバルブ119を、個別にまたは一緒に使用して、(上述のように)一定の流量またはパルスの所定の流量でプロセスガスを供給することができる。
シャワーヘッド114が図3に示されているが、プロセスチャンバ102の天井または側壁、またはプロセスチャンバ102にガスを供給するのに適した他の場所、例えばプロセスチャンバの基部、基板支持体の周縁部など、に配置されたノズルまたは注入口などの追加のまたは代替のガス注入口を設けることができる。
装置100は、プラズマ処理のために容量結合RFエネルギーを利用することができる。例えば、プロセスチャンバ102は、誘電体材料で作られた天井142と、RF電極を提供するために少なくとも部分的に導電性のシャワーヘッド114とを有してもよい(または別個のRF電極が設けられ得る)。シャワーヘッド114(または他のRF電極)は、1つ以上のRF電源(1つのRF電源148が示されている)に1つ以上のそれぞれの整合回路(整合回路146が示されている)を介して結合されてもよい。1つ以上のプラズマ源は、約2MHzおよび/または約13.56MHzの周波数で、または27MHzおよび/または60MHzなどの高周波数で、約3,000Wまで、またはいくつかの実施形態では約5,000WまでのRFエネルギーを生成することができる。排気システム120は、一般に、ポンピングプレナム124と、ポンピングプレナム124をプロセスチャンバ102の内部空間105(および一般に処理空間104)に結合する1つ以上の導管とを含む。
真空ポンプ128が、排気ガスを1つ以上の排気口(2つの排気口122が示されている)を介してプロセスチャンバから排出するために、ポンピングポート126を介してポンピングプレナム124に結合されてもよい。真空ポンプ128は、排気を適切な排気処理設備に送るために排気出口132に流体的に結合されてもよい。真空ポンプ128の動作と組み合わせて排気ガスの流量の制御を容易にするために、バルブ130(ゲートバルブなど)をポンピングプレナム124内に配置することができる。z運動ゲートバルブが示されているが、排気流を制御するための任意の適切なプロセス適合性バルブを利用することができる。
上述のようなプロセスチャンバ102の制御を容易にするために、コントローラ150は、様々なチャンバおよびサブプロセッサを制御するための工業的な設定で使用されることができる任意の形態の汎用コンピュータプロセッサであってもよい。CPU152のメモリまたはコンピュータ可読媒体156は、ランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)、フロッピーディスク、ハードディスク、または任意の他の形態のローカルもしくはリモートのデジタルストレージ、などの容易に利用可能なメモリのうちの1つ以上であってもよい。サポート回路154が、従来の方法でプロセッサをサポートするためにCPU152に結合される。これらの回路には、キャッシュ、電源、クロック回路、入出力回路およびサブシステムなどが含まれる。
本明細書に開示された本発明の方法は、一般に、CPU152によって実行されるとプロセスチャンバ102に本開示のプロセスを実行させるソフトウェアルーチン158としてメモリ156に格納することができる。ソフトウェアルーチン158はまた、CPU152によって制御されているハードウェアから遠隔に位置する第2のCPU(図示せず)によって格納され、および/または実行されてもよい。本開示の方法の一部または全部が、ハードウェアで実行されてもよい。そのようなものとして、本開示は、ソフトウェアに実装されて、コンピュータシステムを使用して実行されてもよいし、または例えば特定用途向け集積回路もしくは他のタイプのハードウェア実装としてハードウェアに実装されてもよいし、またはソフトウェアとハードウェアの組み合わせとして実装されてもよい。ソフトウェアルーチン158は、基板110が基板支持体108上に配置された後に実行されてもよい。ソフトウェアルーチン158は、CPU152によって実行されると、汎用コンピュータを、本明細書に開示された方法が実行されるようにチャンバ動作を制御する専用コンピュータ(コントローラ)150に変換する。
本開示は、本開示の精神から逸脱することなく、本明細書に開示された教示を利用することにより、当業者によって許容可能な特性を達成するように処理パラメータが調整され得る他の半導体基板処理システムを使用して実施され得る。
前述は本開示の実施形態に向けられているが、本開示の基本的な範囲から逸脱することなく、本開示の他のおよびさらなる実施形態を考案することができる。

Claims (15)

  1. 露出したケイ素表面及び露出したケイ素含有表面を有する基板の上に低誘電率誘電体層を選択的に堆積させる方法であって、
    (a)セ氏約300度より高い第1の温度で熱的に安定であるオルガノシラン系自己組織化単分子膜を、前記露出したケイ素含有表面の上に成長させることと、
    (b)前記基板の前記露出したケイ素表面の上に低誘電率誘電体層を選択的に堆積させることであって、前記オルガノシラン系自己組織化単分子膜が、前記ケイ素含有表面上での前記低誘電率誘電体層の堆積を抑制する、堆積させることと
    を含む方法。
  2. 前記第1の温度が、セ氏約300度から約500度である、請求項1に記載の方法。
  3. 前記ケイ素含有表面が、酸化ケイ素(SiO)、窒化ケイ素(SiN)、又は酸窒化ケイ素(SiON)を含む、請求項1に記載の方法。
  4. 前記オルガノシラン系自己組織化単分子膜を成長させることが、オルガノシランと溶媒とを含む溶液に前記基板を暴露することを含む、請求項1に記載の方法。
  5. 前記オルガノシランが、C8〜C30アルキル鎖を含む、請求項4に記載の方法。
  6. 前記基板が、前記オルガノシラン系自己組織化単分子膜を成長させた後、前記溶媒でリンスされる、請求項4に記載の方法。
  7. 前記溶液が、約1ミリモルから約10ミリモルのオルガノシランを有する前記溶媒を含む、請求項4に記載の方法。
  8. 前記基板をセ氏約500度〜約1000度の温度に加熱して、前記オルガノシラン系自己組織化単分子膜を除去することを更に含む、請求項1に記載の方法。
  9. 露出した金属表面及び露出したケイ素含有表面を有する基板の上に層を選択的に堆積させる方法であって、
    (a)前記露出した金属表面の上に第1の自己組織化単分子膜を成長させることと、
    (b)前記露出したケイ素含有表面の上に、オルガノシラン系である第2の自己組織化単分子膜を成長させることと、
    (c)前記基板をセ氏約200度〜約300度の温度に加熱して、前記露出した金属表面の上から前記第1の自己組織化単分子膜を除去することと、
    (d)低誘電率誘電体層又は金属層である層を、前記露出した金属表面の上に選択的に堆積させることと、
    (e)前記基板をセ氏約500度〜約1000度の温度に加熱して、前記露出したケイ素含有表面の上から第2の自己組織化単分子膜を除去することと
    を含む方法。
  10. 前記第1の自己組織化単分子膜を堆積させることは、溶媒と自己組織化単分子膜前駆体とを含む第1の溶液に前記基板を暴露することを含む、請求項9に記載の方法。
  11. 前記自己組織化単分子膜前駆体が、C8〜C30鎖のアルキルチオール、有機ホスホン酸、又はスルホン酸を含む、請求項10に記載の方法。
  12. 前記第1の溶液が、約1ミリモルから約10ミリモルの自己組織化単分子膜前駆体を有する前記溶媒を含む、請求項10に記載の方法。
  13. 前記第2の自己組織化単分子膜を堆積させることが、オルガノシランと溶媒とを含む第2の溶液に前記基板を暴露することを含む、請求項10に記載の方法。
  14. 前記オルガノシランが、C8〜C30アルキル鎖を含む、請求項13に記載の方法。
  15. 前記第2の溶液が、約1ミリモルから約10ミリモルのオルガノシランを有する前記溶媒を含む、請求項13に記載の方法。
JP2017544636A 2015-02-26 2016-02-25 自己組織化単分子膜を用いた選択的誘電体堆積のための方法 Pending JP2018512504A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
IN553/DEL/2015 2015-02-26
IN553DE2015 2015-02-26
PCT/US2016/019597 WO2016138284A1 (en) 2015-02-26 2016-02-25 Methods for selective dielectric deposition using self-assembled monolayers

Publications (1)

Publication Number Publication Date
JP2018512504A true JP2018512504A (ja) 2018-05-17

Family

ID=56789114

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017544636A Pending JP2018512504A (ja) 2015-02-26 2016-02-25 自己組織化単分子膜を用いた選択的誘電体堆積のための方法

Country Status (5)

Country Link
US (1) US20180053659A1 (ja)
JP (1) JP2018512504A (ja)
KR (1) KR20170125876A (ja)
CN (1) CN107406977A (ja)
WO (1) WO2016138284A1 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020152976A (ja) * 2019-03-20 2020-09-24 東京エレクトロン株式会社 成膜方法および成膜装置
KR20210029142A (ko) 2018-07-02 2021-03-15 샌트랄 글래스 컴퍼니 리미티드 기판, 기판의 금속표면영역에 대한 선택적인 막 퇴적방법, 유기물의 퇴적막 및 유기물
WO2021060109A1 (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
WO2021132163A1 (ja) * 2019-12-27 2021-07-01 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20210111265A (ko) 2019-01-10 2021-09-10 샌트랄 글래스 컴퍼니 리미티드 기판, 선택적 막 퇴적 방법, 유기물의 퇴적막 및 유기물
JP2021533272A (ja) * 2018-08-10 2021-12-02 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 自己組織化単分子層を使用する選択的堆積のための方法
WO2022163825A1 (ja) 2021-02-01 2022-08-04 セントラル硝子株式会社 基板、選択的膜堆積方法、有機物の堆積膜及び有機物
KR20220116244A (ko) 2019-12-27 2022-08-22 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US11521848B2 (en) 2021-03-18 2022-12-06 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP7531981B2 (ja) 2019-07-18 2024-08-13 東京エレクトロン株式会社 領域選択的堆積における横方向のフィルム成長を緩和するための方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI730990B (zh) 2015-10-04 2021-06-21 美商應用材料股份有限公司 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法
US10068764B2 (en) * 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
WO2018213018A1 (en) * 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10483168B2 (en) * 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
US10847363B2 (en) * 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
TWI757565B (zh) * 2017-12-22 2022-03-11 美商應用材料股份有限公司 在導電表面上沉積阻擋層的方法
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
TWI837203B (zh) * 2018-11-02 2024-04-01 日商東京威力科創股份有限公司 膜形成方法及膜形成裝置
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7118023B2 (ja) * 2019-03-25 2022-08-15 東京エレクトロン株式会社 成膜方法および成膜装置
US20240047196A1 (en) * 2020-12-01 2024-02-08 Versum Materials Us, Llc Selective thermal atomic layer deposition
US20230002890A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Multiple surface and fluorinated blocking compounds
WO2024091688A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Method of blocking dielectric surfaces using blocking molecules to enable selective epi deposition

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3211752C2 (de) * 1982-03-30 1985-09-26 Siemens AG, 1000 Berlin und 8000 München Verfahren zum selektiven Abscheiden von aus Siliziden hochschmelzender Metalle bestehenden Schichtstrukturen auf im wesentlichen aus Silizium bestehenden Substraten und deren Verwendung
US5242530A (en) * 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US6518194B2 (en) * 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
US6858527B2 (en) * 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US20070048956A1 (en) * 2005-08-30 2007-03-01 Tokyo Electron Limited Interrupted deposition process for selective deposition of Si-containing films
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US20080064214A1 (en) * 2006-09-13 2008-03-13 Lam Research Corporation Semiconductor processing including etched layer passivation using self-assembled monolayer
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8728845B2 (en) * 2011-03-24 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for selectively removing anti-stiction coating
JP5490071B2 (ja) * 2011-09-12 2014-05-14 株式会社東芝 エッチング方法
CN103579255A (zh) * 2013-10-23 2014-02-12 清华大学 存储单元及其形成方法

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210029142A (ko) 2018-07-02 2021-03-15 샌트랄 글래스 컴퍼니 리미티드 기판, 기판의 금속표면영역에 대한 선택적인 막 퇴적방법, 유기물의 퇴적막 및 유기물
JP7189321B2 (ja) 2018-08-10 2022-12-13 アプライド マテリアルズ インコーポレイテッド 自己組織化単分子層を使用する選択的堆積のための方法
JP2021533272A (ja) * 2018-08-10 2021-12-02 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 自己組織化単分子層を使用する選択的堆積のための方法
KR20210111265A (ko) 2019-01-10 2021-09-10 샌트랄 글래스 컴퍼니 리미티드 기판, 선택적 막 퇴적 방법, 유기물의 퇴적막 및 유기물
WO2020189509A1 (ja) * 2019-03-20 2020-09-24 東京エレクトロン株式会社 成膜方法および成膜装置
JP2020152976A (ja) * 2019-03-20 2020-09-24 東京エレクトロン株式会社 成膜方法および成膜装置
JP7195190B2 (ja) 2019-03-20 2022-12-23 東京エレクトロン株式会社 成膜方法および成膜装置
JP7531981B2 (ja) 2019-07-18 2024-08-13 東京エレクトロン株式会社 領域選択的堆積における横方向のフィルム成長を緩和するための方法
WO2021060109A1 (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 成膜方法
WO2021132163A1 (ja) * 2019-12-27 2021-07-01 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20220116244A (ko) 2019-12-27 2022-08-22 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
KR20220116016A (ko) 2019-12-27 2022-08-19 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP7365898B2 (ja) 2019-12-27 2023-10-20 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20240037365A (ko) 2019-12-27 2024-03-21 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2021108335A (ja) * 2019-12-27 2021-07-29 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2022163825A1 (ja) 2021-02-01 2022-08-04 セントラル硝子株式会社 基板、選択的膜堆積方法、有機物の堆積膜及び有機物
US11521848B2 (en) 2021-03-18 2022-12-06 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Also Published As

Publication number Publication date
WO2016138284A1 (en) 2016-09-01
KR20170125876A (ko) 2017-11-15
CN107406977A (zh) 2017-11-28
US20180053659A1 (en) 2018-02-22

Similar Documents

Publication Publication Date Title
JP2018512504A (ja) 自己組織化単分子膜を用いた選択的誘電体堆積のための方法
TWI816676B (zh) 用於達成無缺陷自組裝單層的晶圓處理
KR101974715B1 (ko) 산화막 제거 방법 및 제거 장치, 및 콘택 형성 방법 및 콘택 형성 시스템
JP5518239B2 (ja) トレンチ及びビアの断面形状を変形させる方法及び装置
JP5925802B2 (ja) 2段階での均一なドライエッチング
US20170229314A1 (en) Atomic layer etching 3d structures: si and sige and ge smoothness on horizontal and vertical surfaces
US8043972B1 (en) Adsorption based material removal process
TWI695903B (zh) 經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法
TWI727389B (zh) 使用自組裝單層的選擇性沉積的方法
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
TW202205394A (zh) 處理裝置及處理系統
JP5809144B2 (ja) 基板処理方法および基板処理装置
KR102441239B1 (ko) 에칭 방법
US10224212B2 (en) Isotropic etching of film with atomic layer control
JP2012519962A (ja) 界面汚染を低減した層を堆積させる方法
US20200071816A1 (en) Methods for selective deposition using molybdenum hexacarbonyl
TW201807748A (zh) 用於化學蝕刻矽的方法
JP2019197903A (ja) 処理装置
WO2018220973A1 (ja) エッチング方法
JP2022533388A (ja) in-situ原子層堆積プロセス
TWI850084B (zh) 用於達成無缺陷自組裝單層的晶圓處理設備