JP7189321B2 - 自己組織化単分子層を使用する選択的堆積のための方法 - Google Patents

自己組織化単分子層を使用する選択的堆積のための方法 Download PDF

Info

Publication number
JP7189321B2
JP7189321B2 JP2021506423A JP2021506423A JP7189321B2 JP 7189321 B2 JP7189321 B2 JP 7189321B2 JP 2021506423 A JP2021506423 A JP 2021506423A JP 2021506423 A JP2021506423 A JP 2021506423A JP 7189321 B2 JP7189321 B2 JP 7189321B2
Authority
JP
Japan
Prior art keywords
metal
organosilane
metal surface
assembled monolayer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021506423A
Other languages
English (en)
Other versions
JP2021533272A (ja
Inventor
チャン ケ,
ウェンユ ヂャン,
リキ ウ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021533272A publication Critical patent/JP2021533272A/ja
Application granted granted Critical
Publication of JP7189321B2 publication Critical patent/JP7189321B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0236Pretreatment of the material to be coated by cleaning or etching by etching with a reactive gas
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • B05D1/322Removable films used as masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • B05D3/145After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Description

本開示の実施態様は、概して、自己組織化単分子層を使用する選択的堆積のための方法に関する。
選択的な原子層堆積(ALD)及び化学気相堆積(CVD)プロセスは、デバイスの寸法縮小のペースに対応しながら、従来のリソグラフィに伴う工程数及びコストを有利に削減することができる。金属誘電体パターンでの選択的堆積は、バックエンドオブライン(BEOL)の用途において、高い潜在的価値を有する。明らかになったいくつかの代替的で選択的なシリコンベースの誘電体堆積技術は、テンプレート制御成長、ホログラフィックベースのリソグラフィなどである。しかしながら、大気条件下での金属酸化物の形成に関連する制限のため、これらの代替的な技術はいずれも完全な解決策を提供しない。発明者は、金属の性質が、例えば、金属表面上の自然酸化物の存在などの深刻な課題を引き起こすことを観察した。金属酸化物は誘電体として作用し得るため、自然酸化物の薄膜は、金属表面を自己組織化単分子層(SAM)の誘電体表面とは区別できなくし、選択性の減少又は選択性の損失をもたらす。
したがって、発明者は、犠牲及び核形成抑制層として自己組織化単分子層を使用する選択的な誘電体堆積のための改善された方法及び装置を開発した。
本明細書では、選択的堆積のための方法及び装置が提供される。いくつかの実施態様では、方法は、(a)金属表面を一又は複数の金属ハロゲン化物と接触させて、曝露された金属表面を形成すること;(b)オルガノシランをベースとする自己組織化単分子層を誘電体表面上で成長させること;及び(c)基板の曝露された金属表面上に層を選択的に堆積させることであって、オルガノシランをベースとする自己組織化単分子層が誘電体表面上への層の堆積を抑制する、層を選択的に堆積させることを含む、金属表面及び誘電体表面を有する基板上に層を選択的に堆積することを含む。実施態様では、金属ハロゲン化物は、金属フッ化物、アルカリ金属塩化物、又はそれらの組み合わせである。
別の実施態様では、金属表面を一又は複数の金属ハロゲン化物と接触させて、曝露された金属表面を形成することを含む、金属表面及び誘電体表面を有する基板を調整する方法であって、曝露された金属表面が、その上にオルガノシランをベースとする自己組織化単分子層が堆積することを抑制する、基板を調整する方法が提供される。実施態様では、金属ハロゲン化物は、金属フッ化物、アルカリ金属塩化物、又はそれらの組み合わせである。
いくつかの実施態様では、方法は、(a)金属表面を一又は複数の金属塩化物、金属フッ化物又はそれらの組み合わせと接触させて、曝露された金属表面を形成すること;(b)オルガノシランをベースとする自己組織化単分子層を誘電体表面上で成長させること;及び(c)基板の曝露された金属表面上に層を選択的に堆積させることであって、オルガノシランをベースとする自己組織化単分子層が誘電体表面上への層の堆積を抑制する、層を選択的に堆積させることを含む、金属表面及び誘電体表面を有する基板上に層を選択的に堆積させることを含む。
いくつかの実施態様では、本開示は、コンピュータ可読媒体であって、それに格納された命令を有し、命令が、実行されると、処理チャンバに、(a)金属表面を一又は複数の金属ハロゲン化物と接触させて、曝露された金属表面を形成すること;(b)オルガノシランをベースとする自己組織化単分子層を誘電体表面上で成長させること;及び(c)基板の曝露された金属表面上に層を選択的に堆積させることであって、オルガノシランをベースとする自己組織化単分子層が誘電体表面上への層の堆積を抑制する、層を選択的に堆積させることを含む、金属表面及び誘電体表面を有する基板上に層を選択的に堆積させる方法を実施させる、コンピュータ可読媒体に関する。
本開示の他の実施態様及びさらなる実施態様については、以下で説明する。
上記で簡潔に要約し、下記でより詳細に述べる本開示の実施態様は、付随する図面に示す本開示の例示的な実施態様を参照することにより、理解可能である。しかしながら、本開示は他の等しく有効な実施態様を許容し得る。ことから、添付の図面は、本開示の典型的な実施態様のみを例示しており、従って、範囲を限定していると見なされるべきではない。
本開示のいくつかの実施態様に係る化学気相堆積プロセス又は原子層堆積プロセスを実施するのに適した処理チャンバである。 本開示のいくつかの実施態様に係る選択的堆積の方法のフローチャートである。 本開示のいくつかの実施態様に係る図2の処理順序の異なるステージの間の基板の例示的な断面図である。 本開示のいくつかの実施態様に係る金属表面及び誘電体表面を有する基板を調整する方法のフロー図である。
理解が容易になるよう、可能な場合には、複数の図に共通する同一の要素を指し示すために同一の参照番号を使用した。図は縮尺どおりには描かれておらず、分かり易くするために簡略化されることがある。一つの実施態様の要素及び特徴は、さらなる記述がなくても、他の実施態様に有益に組み込まれることがある。
本明細書では、自己組織化単分子層(SAM)を使用する選択的堆積のための方法が提供される。いくつかの実施態様では、本明細書に記載される方法は、有利には、金属表面を一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物と接触させて、曝露された金属表面を形成することにより、金属表面及び誘電体表面を有する基板を調整し、曝露された金属表面は、その上にオルガノシランをベースとする自己組織化単分子層が堆積することを抑制する。曝露された金属表面は、有利には、該金属表面上にオルガノシランをベースとする自己組織化単分子層の堆積を促進し、プロセスの選択性を限定又は破壊する場合のある問題となる酸化物層を含まない。
図2は、本開示のいくつかの実施態様に係る金属表面及び誘電体表面を有する基板を処理する方法200のフロー図である。図3A-Eは、本開示のいくつかの実施態様に係る図2の処理順序の異なるステージの間の基板の例示的な断面図である。本開示の方法は、図1に関して以下に説明する処理チャンバのような、原子層堆積(ALD)又は化学気相堆積(CVD)のために構成された処理チャンバ内で実施され得る。
方法200は、図3Aに示されるように、金属表面302及び誘電体表面304を有する基板300上で実施される。実施態様では、基板300は、結晶シリコン(例えばSi<100>又はSi<111>)、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ、パターン化された又はパターン化されていないウエハ、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどといった材料を含み得る。実施態様では、基板300は、丸い基板の場合、さまざまな寸法、例えば、200mm、300mm、450mm又は他の直径を有し得る。基板300はまた、フラットパネルディスプレイの製造に使用される多角形ガラス基板などのように、任意の多角形、正方形、長方形、湾曲したあるいは非円形の加工品であってもよい。別途記載されない限り、本書に記載の実行形態及び例は、200mm直径、300mm直径、又は450mm直径を有する、基板300などの、基板上で実行される。
実施態様では、誘電体表面304は、金属表面302と同じではない。いくつかの実施態様では、誘電体表面304は、任意の適切な原子層堆積プロセス又は化学層堆積プロセスを介して堆積される。いくつかの実施態様では、誘電体表面304は、基板300上に堆積された低誘電率誘電体層を含み得る。いくつかの実施態様では、誘電体表面304は、半導体デバイス製造に適した任意の低誘電率誘電体材料を含み得る。低誘電率誘電体材料として適切な非限定的な材料は、例えば、酸化ケイ素(SiO2)、窒化ケイ素、又は酸窒化ケイ素(SiON)などのシリコン含有材料を含み得る。実施態様では、低誘電率誘電体材料は、約3.9未満(例えば、約2.5から約3.5)の低誘電率値を有し得る。いくつかの実施態様では、誘電体表面304は、HfOなどの酸化ハフニウムを含み得る。
いくつかの実施態様では、金属表面302は、任意の適切な原子層堆積プロセス又は化学層堆積プロセスを介して堆積される。いくつかの実施態様では、金属表面302は、半導体デバイス製造に適した任意の金属を含み得る。金属表面302に適した非限定的な金属は、銅(Cu)、コバルト(Co)、タングステン(W)、ニオブ(Nb)、ルテニウム(Ru)又はモリブデン(Mo)、及びそれらの組み合わせ、例えば合金等を含む。図3Aを参照すると、金属酸化物層305は、金属表面302上に堆積されて示される。金属酸化物層305は、例えば空気又は水中で、金属表面302が酸素と接触するときに、天然の酸化物層又は形態であり得る。金属酸化物層305は、金属酸化物層305が誘電体層として作用するか又はその上へのオルガノシランをベースとする自己組織化単分子層の堆積を促進する点で問題である。オルガノシランをベースとする自己組織化単分子層の金属酸化物層305又は金属表面302上への形成は、選択的堆積法の選択性を抑制又は低減し得る。実施態様では、金属酸化物層305は、自己組織化単分子層306を堆積させる前に除去される。
本開示によれば、方法200は、210で、図3A及び3Bに示されるように、金属表面を一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物等と接触させて、曝露された金属表面308を形成することにより開始する。一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物を選択することにより、曝露された金属表面308は、金属酸化物層305を含まないか又は実質的に含まずに形成され、曝露された金属表面308は、それに接着する自己組織化単分子層(SAM)には利用不可能である。曝露された金属表面材料の非限定的な例には、実質的に純粋な、例えば酸化物を実質的に含まない、銅(Cu)、コバルト(Co)、タングステン(W)、ニオブ(Nb)、ルテニウム(Ru)又はモリブデン(Mo)、及びそれらの組み合わせ、例えば合金等が含まれる。
実施態様では、一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物は、金属酸化物層305を除去するのに十分な量で金属表面302と接触する。例えば、一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物は、金属表面302上の金属酸化物層305をエッチングして、図3Bに示されるような曝露された金属表面308を形成し得る。実施態様では、金属表面302を一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物と接触させることは、反応に適した条件下で、金属酸化物層305を除去するのに十分な量の一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物を使用して実施される。実施態様では、金属表面302を一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物と接触させることは、約300から約400℃、又は約325から約375℃、又は約325℃、約350℃、又は約375℃の第1の温度で実施される。実施態様では、金属表面302を一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物と接触させることは、1から15Torr、約1から約15Torr、約5Torr、約10Torr、又は約15Torrの値の圧力で実施される。実施態様では、金属表面302を一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物と接触させることは、約5分間から約20分間、例えば約10分間から約15分間実施される。実施態様では、金属表面を一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物と接触させることは、約5分間から約20分間実施される。
いくつかの実施態様では、一又は複数のアルカリ金属ハロゲン化物は、金属酸化物層305を除去するのに十分な量で金属表面302と接触する。例えば、一又は複数のアルカリ金属ハロゲン化物は、金属表面302上の金属酸化物層305をエッチングして、図3Bに示されるような曝露された金属表面308を形成し得る。実施態様では、金属表面302を一又は複数のアルカリ金属ハロゲン化物と接触させることは、反応に適した条件下で、金属酸化物層305を除去するのに十分な量の一又は複数のアルカリ金属ハロゲン化物を使用して実施される。実施態様では、金属表面302を一又は複数のアルカリ金属ハロゲン化物と接触させることは、約300から約400℃、又は約325から約375℃、又は約325℃、約350℃、又は約375℃の第1の温度で実施される。実施態様では、金属表面302を一又は複数のアルカリ金属ハロゲン化物と接触させることは、1から15Torr、約1から約15Torr、約5Torr、約10Torr、又は約15Torrの値の圧力で実施される。実施態様では、金属表面302を一又は複数のアルカリ金属ハロゲン化物と接触させることは、約5分間から約20分間、例えば約10分間から約15分間実施される。実施態様では、金属表面を一又は複数のアルカリ金属ハロゲン化物と接触させることは、約5分間から約20分間実施される。アルカリ金属ハロゲン化物の非限定的な例には、アルカリ金属フッ化物、アルカリ金属塩化物、アルカリ金属臭化物、アルカリ金属ヨウ化物、リチウムハロゲン化物、ナトリウムハロゲン化物、カリウムハロゲン化物、ルビジウムハロゲン化物、セシウムハロゲン化物、及びそれらの組み合わせが含まれる。アルカリ金属フッ化物の例には、リチウムフッ化物、ナトリウムフッ化物、カリウムフッ化物、ルビジウムフッ化物、セシウムフッ化物及びそれらの組み合わせが含まれる。アルカリ金属塩化物の例には、リチウム塩化物、ナトリウム塩化物、カリウム塩化物、ルビジウム塩化物、セシウム塩化物及びそれらの組み合わせが含まれる。アルカリ金属塩化物の例には、リチウム塩化物、ナトリウム塩化物、カリウム塩化物、ルビジウム塩化物、セシウム塩化物及びそれらの組み合わせが含まれる。
実施態様では、一又は複数の金属ハロゲン化物は、金属酸化物層305を除去するのに十分な量で金属表面302と接触する。例えば、一又は複数の金属ハロゲン化物は、金属表面302上の金属酸化物層305をエッチングして、図3Bに示されるような曝露された金属表面308を形成し得る。実施態様では、金属表面302を一又は複数の金属ハロゲン化物と接触させることは、反応に適した条件下で、金属酸化物層305を除去するのに十分な量の一又は複数の金属ハロゲン化物を使用して実施される。実施態様では、金属表面302を一又は複数の金属ハロゲン化物と接触させることは、約300から約400℃、又は約325から約375℃、又は約325℃、約350℃、又は約375℃の第1の温度で実施される。実施態様では、金属表面302を一又は複数の金属ハロゲン化物と接触させることは、1から15Torr、約1から約15Torr、約5Torr、約10Torr、又は約15Torrの値の圧力で実施される。実施態様では、金属表面302を一又は複数の金属ハロゲン化物と接触させることは、約5分間から約20分間、例えば約10分間から約15分間実施される。実施態様では、金属表面を一又は複数の金属ハロゲン化物と接触させることは、約5分間から約20分間実施される。金属ハロゲン化物の例には、金属フッ化物、金属塩化物、金属臭化物、金属ヨウ化物、及びそれらの組み合わせが含まれる。本開示による使用に適した一又は複数の金属塩化物の非限定的な例には、WClx、NbClx、RuClx、MoClx、及びそれらの組み合わせが含まれ、ここでxは整数又は数値である。
実施態様では、金属表面302を一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物と接触させることは、酸素が反応を抑制するか又は金属表面302上の追加の金属酸化物材料の成長を促進したりするために利用できないように、減圧下で実施される。実施態様では、金属表面302と一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物と接触させることは、図1の処理チャンバなどのチャンバ内で実施される。図1の処理チャンバは、酸素非含有であり得る。
本開示による使用に適した金属塩化物には、金属表面302上の金属酸化物層305を十分に除去して曝露された金属表面308を形成するのに適した任意の金属塩化物が含まれる。本開示による使用に適した一又は複数のアルカリ金属塩化物の非限定的な例には、WClx、NbClx、RuClx、MoClx、又はそれらの組み合わせが含まれ、ここでxは整数又は数値である。実施態様では、xが例えば1を超える整数である塩化タングステン(WClx)が気体の形態で提供される。実施態様では、WClxは適切な反応物である(xは、整数、例えば2、4、5、6…である)。一実施態様では、WClxはWCl(六塩化タングステン)であり、xは整数6である。いくつかの実施態様では、前駆体ガスにはWCl又はWCLが含まれる。実施態様では、Xが2又は3の整数であるRuClx、例えば、塩化ルテニウム(III)又は塩化ルテニウム(RuCl)は、本明細書に記載の使用に適している。実施態様では、NbClx(x=5、4)などの塩化ニオブは、本明細書に記載の使用に適している。実施態様では、本明細書に記載の使用に適した金属塩化物は、図1の処理チャンバなどのチャンバ中の基板への供給に適した前駆体ガスなどの気体の形態で提供され得る。実施態様では、図1の処理チャンバは、酸素非含有チャンバであり得る。
金属表面302と反応する金属塩化物の反応式の一例は以下:
MO+WCl→WCl↑+MCl↑+O
に示される。
上の反応では、気相WClは、天然酸化物をエッチングし、純金属を表面上に残して、曝露された金属表面を形成する。
金属表面302と反応する金属塩化物の反応式の別の例は以下:
MO+WCl↑+H→W↓+MCl↑+HO↑
に示される。
上の反応では、水素ガスがWClxと一緒に添加される。結果として、天然酸化物が除去される。タングステン(W)などの金属の追加の層を曝露された金属表面積に堆積させる反応を含む他の反応は、本開示による使用に適している場合がある。
方法200は、220で、図3Cに示されるように、オルガノシランをベースとする自己組織化単分子層などの自己組織化単分子層306を誘電体表面304上に成長又は堆積させることにより、継続する。実施態様では、自己組織化単分子層306は、約50℃超、例えば約100から約500℃の第1の温度熱的に安定するよう選択され得る。第1の温度で熱的に安定な自己組織化単分子層306を選択することにより、自己組織化単分子層306は、化学気相堆積(CVD)又は原子層堆積(ALD)プロセスを介して、300℃未満、例えば約100℃から約200℃の温度で実施されてよく、自己組織化単分子層306を分解することはない。
実施態様では、オルガノシランをベースとする自己組織化単分子層などの自己組織化単分子層306を誘電体表面304上に成長又は堆積させることには、誘電体表面304をオルガノシランと接触させることが含まれる。実施態様では、オルガノシランは、気体の形態であり、減圧下でチャンバに供給される。適切なオルガノシランは、長アルキル鎖を有して、後の段階できれいに除去することができる、コンパクトな、欠陥のない、熱的に安定で、化学的に不活性なバリアを形成する。適切なオルガノシランは、C-8からおよそC-30のアルキル鎖を有する対応するすべての同族体を含むC-8からC-30アルキル鎖を含み得る。例示的な適切なオルガノシランには、限定されないが、オクタデシルトリクロロシラン(ODTS)、トリメトキシ(オクタデシル)シラン(ODTMS)、クロロ(ジメチル)オクタデシルシラン(CDODS)、又はトリクロロ(1H,1H,2H,2H-ペルフルオロオクチル)シラン(PFTS)が含まれる。オルガノシラン分子を選択する上記の基準の一つは、自己組織化単分子層の熱安定性であり得る。実施態様では、続いて堆積される層310の堆積温度で熱的に安定である自己組織化単分子層を選択することは、続いて堆積される層の堆積温度での自己組織化単分子層306の分解を回避する。例えば、二酸化ケイ素(SiO2)上のODTSの熱安定性は、少なくとも最大500℃である。したがって、ODTS自己組織化単分子層は、ALDプロセスを介した層310の堆積の間に分解しない。よって、SAMの熱安定性は、温度適合性の限界を拡大する。実施態様では、オルガノシランをベースとする自己組織化単分子層を成長させることは、10から250Torr、100から350Torr、又は250から350Torrの値の圧力で実施される。
いくつかの実施態様では、適切なオルガノアミノシランの非限定的な例には、Self-Assembled Blocking with Intermittent Air-Water Exposureと題されるKaufman-Osbornらによる米国特許出願第15/446,816号に記載されるものを含むシリルアミン材料が含まれ得る。
いくつかの実施態様では、本明細書のSAM前駆体としての使用に適したシリルアミン材料の非限定的な例には、トリス(ジメチルアミノ)メチルシラン、トリス(ジメチルアミノ)エチルシラン、トリス(ジメチルアミノ)プロピルシラン、トリス(ジメチルアミノ)ブチルシラン、トリス(ジメチルアミノ)ペンチルシラン、トリス(ジメチルアミノ)ヘキシルシラン、トリス(ジメチルアミノ)ヘプチルシラン、トリス(ジメチルアミノ)オクチルシラン、トリス(ジメチルアミノ)ノニルシラン、トリス(ジメチルアミノ)デシルシラン、トリス(ジメチルアミノ)ウンデシルシラン、トリス(ジメチルアミノ)ドデシルシラン、トリス(ジメチルアミノ)トリデシルシラン、トリス(ジメチルアミノ)テトラデシルシラン、トリス(ジメチルアミノ)ペンタデシルシラン、トリス(ジメチルアミノ)ヘキサデシルシラン、トリス(ジメチルアミノ)ヘプタデシルシラン、トリス(ジメチルアミノ)オクタデシルシラン、トリス(ジメチルアミノ)ノナデシルシラン、及びそれらの組み合わせが含まれる。
いくつかの実施態様では、本明細書での使用のためのSAM分子の非限定的な例には、ジメチルアミノトリメチルシラン、及び以下の調合物:
Figure 0007189321000001
、又は
Figure 0007189321000002
、及びそれらの組み合わせ
を有する化学物質が含まれる。
いくつかの実施態様では、オルガノシランをベースとする自己組織化単分子層は、ガス前駆体形態で提供され、例えば、層310の堆積をブロックするのに十分な誘電体表面304上に単分子層を形成するのに十分な濃度のオルガノシランを含み得る。実施態様では、曝露された金属表面308及び誘電体表面304を有する基板300は、オルガノシランを含む気体前駆体材料と約2から約3時間接触して、誘電体表面304上に自己組織化単分子層306を形成する。オルガノシラン分子は、誘電体表面304に対して化学的親和力(例えば、反応性及び選択性)を有する。よって、自己組織化単分子層306は、誘電体表面304上のみに形成し、曝露された金属表面308上には形成しない。実施態様では、基板300は、自己組織化単分子層306を堆積させて、任意の非吸収オルガノシラン分子を除去した後、減圧下で真空下にとどまるか又は残る。
次に、230で、図3Dに示すように、基板300の曝露された金属表面308上に層310を選択的に堆積させることが示され、ここで自己組織化単分子層306、例えばオルガノシランをベースとする自己組織化単分子層は、層310の誘電体表面304上への堆積を抑制する。図3Dは、層310が基板300の曝露された金属表面308上に選択的に堆積していることを示す。いくつかの実施態様では、層は、任意の適切な原子層堆積プロセス又は化学層堆積プロセスを介して堆積される。誘電体表面304上の自己組織化単分子層306の存在は、層310の誘電体表面304上への形成を抑制する。実施態様では、自己組織化単分子層306の熱安定性は、層310の堆積温度(例えば約300℃未満)での自己組織化単分子層306の分解を防止する。層310には、半導体デバイス製造に適した任意の材料が含まれ得る。例えば、いくつかの実施態様では、材料は、第2の低誘電率材料であっても、例えば二酸化ケイ素(SiO2)などのシリコン含有材料であってもよい。
次に、図3Eに示すように、基板300は、誘電体表面304上から自己組織化単分子層306を除去するように処理される。例えば、基板300は、遠隔プラズマと接触して、誘電体表面304上から自己組織化単分子層306を除去し得る。いくつかの実施態様では、他の除去プロセスには、基板を水蒸気プラズマと接触させて誘電体表面304上から自己組織化単分子層306を除去することが含まれ得る。いくつかの実施態様では、基板300は、約500℃超、例えば約500から約1000℃の温度に加熱されて、誘電体表面304から自己組織化単分子層306を除去し得る。実施態様には、基板を500℃を上回る温度に加熱することが含まれる。自己組織化単分子層306の除去の後、方法200は終了し、基板は、電界効果トランジスタ(FET)、フィン電界効果トランジスタ(FinFET)、フラッシュメモリデバイス、3D FINFETデバイス等の半導体デバイスの完成に必要なさらなる処理を施され得る。
図4は、本開示のいくつかの実施態様に係る金属表面及び誘電体表面を有する基板を調整する方法のフロー図である。実施態様では、金属表面及び誘電体表面を有する基板を調整する方法が提供される。実施態様では、該方法は、金属表面を一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物と接触させて、曝露された金属表面を形成することを含んでもよく、曝露された金属表面は、その上にオルガノシランをベースとする自己組織化単分子層が堆積することを抑制する。いくつかの実施態様では、金属表面を一又は複数の一又は複数の金属ハロゲン化物、例えば金属塩化物又は金属フッ化物と接触させることは、約300から約400℃の第1の温度で、1から15Torrの値の圧力で、5分間から20分間の持続時間で実施される。実施態様では、金属塩化物は、WClx、NbClx、RuClx、MoClx、又はそれらの組み合わせを含むガスであり、xは整数又は数値である。他の実施態様では、金属表面を一又は複数の金属塩化物、金属フッ化物、又はそれらの組み合わせと接触させることは、上記の条件のいずれかの下で実施される。実施態様では、一又は複数の金属ハロゲン化物は、WClx、NbClx、RuClx、MoClx、又はそれらの組み合わせを含む塩化物ガスであり、xは整数又は数値である。
図1は、本明細書で論じているような本開示の実施態様を実行するために使用され得る種類の例示的な装置の概略図を示す。装置100は、コントローラと、処理チャンバ102の内部空間105から過剰な処理ガス、処理副生成物、又は同等物を除去するための排気システム120を有する処理チャンバ102とを含み得る。例示的な処理チャンバには、カリフォルニア州サンタクララのアプライド マテリアルズ インコーポレイテッドから入手可能な、原子層堆積(ALD)又は化学気相堆積(CVD)用に構成された、いくつかの処理チャンバのいずれかが含まれ得る。他の製造業者からの他の適切な処理チャンバも同様に使用してよい。
処理チャンバ102は、処理空間104を含み得る。内部空間105を有する。処理空間104は、処理中にその上に基板110を支持するため処理チャンバ102内に配置される基板支持体108と、所定の位置に配置されるシャワーヘッド114及び/又はノズルなどの一又は複数のガス注入口との間に画定され得る。いくつかの実施態様では、基板支持体108は、静電チャック、真空チャック、基板保持クランプ、又は同等物(図示せず)など、基板支持体108の表面の基板110を保持又は支持する機構を含み得る。いくつかの実施態様では、基板支持体108は、基板温度を制御するための機構(図示していないが、加熱及び/又は冷却デバイスなど)、及び/又は、基板表面近傍の核種フラックス及び/又はイオンエネルギーを制御するための機構を含み得る。
例えば、いくつかの実施態様では、基板支持体108は、RFバイアス電極140を含み得る。RFバイアス電極140は、一又は複数の整合ネットワーク(図示した整合ネットワーク136)を介して、一又は複数のバイアス電源(図示した一つのバイアス電源138)に連結され得る。一又は複数のバイアス電源は、約2MHzから約60MHzまでの周波数で、例えば、約2MHz、又は約13.56MHz、又は約60MHzの周波数で、最大1200WまでのRFエネルギーを生成することができる。いくつかの実施態様では、2つのバイアス電源が、それぞれの整合ネットワークを介して、それぞれ約2MHz及び約13.56MHzで、RF電力をRFバイアス電極140に連結するように提供され得る。少なくとも一つのバイアス電源は、連続電力又はパルス電力のいずれかを提供し得る。いくつかの実施態様では、バイアス電源は直流電源又はパルス直流電源であってよい。
基板110は、処理チャンバ102の壁にある開口112を介して、処理チャンバ102に入ることができる。開口112は、スリットバルブ118又は開口112を通してチャンバ内部へのアクセスを選択的にもたらすその他の機構により、選択的に密封され得る。基板支持体108は、リフト機構134に連結され得る。リフト機構134は、開口112を通して基板をチャンバに出入りさせる基板の移送に適した下方位置(図示されているように)と、処理に適した選択可能な上方位置との間で、基板支持体108の位置を制御することができる。処理位置は、特定の処理に対する処理の均一性を最大にするように選択され得る。上方の処理位置の少なくとも一つにあるときには、対称な処理領域を提供するため、基板支持体108は、開口112の上に配置され得る。
一又は複数のガス注入口(例えば、シャワーヘッド114)は、一又は複数の処理ガスを質量流量コントローラを経由して処理チャンバ112の処理空間104に供給するためのガス供給116に連結され得る。加えて、一又は複数のバルブ119は、一又は複数の処理ガスの流れを制御するように設けられ得る。質量流量コントローラ117及び一又は複数のバルブ119は、所定の流量の処理ガスを一定の流速で、又は(上述のように)パルスで供給するため、個別に、又は連携して使用され得る。
シャワーヘッド114は図1に示されているが、追加又は代替のガス注入口、例えば、処理チャンバ102の天井又は側壁に、あるいは、処理チャンバの底部、基板支持体の外周など、処理チャンバ102にガスを提供するのに適したその他の場所に配置されるノズル又は注入口が、設けられてもよい。
装置100は、プラズマ処理用に容量結合RFエネルギーを用いてもよい。例えば、処理チャンバ102は、誘電体材料から作られた天井142、及び、RF電極を設けるため(あるいは、別々のRF電極が設けられてもよい)少なくとも部分的に導電性のシャワーヘッド114を有してもよい。シャワーヘッド114(又は、他のRF電極)は、一又は複数の各整合ネットワーク(図示した整合ネットワーク146)を介して、一又は複数のRF電源(図示した一つのRF電源148)に連結され得る。一又は複数のプラズマ源は、約2MHz及び/又は約13.56MHz、あるいはそれ以上で、例えば27MHz及び/又は60MHz周波数で、最大約3,000Wまで、あるいは、いくつかの実施態様では最大約5,000WまでのRFエネルギーを生成可能である。排気システム120は概して、ポンピングプレナム124、並びに、ポンピングプレナム124を処理チャンバ102の内部空間105(及び、一般的に、処理空間104)に連結する一又は複数の導管を含む。
真空ポンプ128は、一又は複数の排気ポート(2つの排気ポート122が示されている)を介して、処理チャンバ122から排気ガスを送出するためのポンピングポート126を介して、ポンピングプレナム124に連結され得る。真空ポンプ128は、排気を適切な排気処理機器に送るために、排気放出口132に流体連結され得る。真空ポンプ128の動作と共に排気ガスの流量の制御を促進するため、バルブ130(ゲートバルブなど)がポンピングプレナム124内に配置され得る。ここではz運動ゲートバルブが示されているが、排気の流れを制御する任意の適切な、処理に適合するバルブを使用してもよい。
上述の処理チャンバ102の制御を促進するため、コントローラ150は、さまざまなチャンバ及びサブプロセッサを制御するための産業用設定で使用できる任意の形態の汎用コンピュータプロセッサであってもよい。CPU152のメモリ又はコンピュータ可読媒体156は、ランダムアクセスメモリ(RAM)、読取専用メモリ(ROM)、フロッピーディスク、ハードディスク、又は任意の他の形態のローカルデジタルストレージあるいは遠隔デジタルストレージなどの、容易に入手可能なメモリのうちの一つ又は複数であり得る。サポート回路154は、従来の様態でプロセッサをサポートするためにCPU152に接続される。これらの回路は、キャッシュ、電源、クロック回路、入出力回路、及びサブシステムなどを含む。
本明細書に開示される方法は、概して、ソフトウェアルーチン158としてメモリ156に記憶され得る。ソフトウェアルーチン158は、CPU152によって実行されると、処理チャンバ102に本開示の処理を実行させる。さらに、ソフトウェアルーチン158は、CPU152が制御するハードウェアから遠隔に位置する第2のCPU(図示せず)によって記憶且つ/又は実行され得る。本開示の方法のいくつか又はすべてをハードウェアで実行することもできる。したがって、本開示は、ソフトウェア内に実装され、コンピュータシステムを使用して、例えば、特定用途向け集積回路若しくは他の種類のハードウェア実装形態としての、又はソフトウェアとハードウェアとの組合せとしてのハードウェア内で実行され得る。基板110が基板支持体108上に位置付けされた後に、ソフトウェアルーチン158は実行され得る。ソフトウェアルーチン158は、CPU152によって実行されると、汎用コンピュータを、本明細書に記載される方法が実行されるようにチャンバ動作を制御する特定用途コンピュータ(コントローラ)150に変換する。
いくつかの実施態様では、本開示は、(a)金属表面を一又は複数の金属ハロゲン化物と接触させて、曝露された金属表面を形成すること;(b)オルガノシランをベースとする自己組織化単分子層を誘電体表面上で成長させること;及び(c)基板の曝露された金属表面上に層を選択的に堆積させることであって、オルガノシランをベースとする自己組織化単分子層が誘電体表面上への層の堆積を抑制する、層を選択的に堆積させることを含む、金属表面及び誘電体表面を有する基板を有する基板上に層を選択的に堆積させる方法を実施するよう構成された処理チャンバに関する。
いくつかの実施態様では、本開示は、コンピュータ可読媒体であって、それに格納された命令を有し、命令が、実行されると、処理チャンバに、(a)金属表面を一又は複数の金属ハロゲン化物と接触させて、曝露された金属表面を形成すること;(b)オルガノシランをベースとする自己組織化単分子層を誘電体表面上で成長させること;及び(c)基板の曝露された金属表面上に層を選択的に堆積させることであって、オルガノシランをベースとする自己組織化単分子層が誘電体表面上への層の堆積を抑制する、層を選択的に堆積させることを含む、金属表面及び誘電体表面を有する基板上に層を選択的に堆積させる方法を実施させる、コンピュータ可読媒体に関する。
いくつかの実施態様では、本開示は、コンピュータ可読媒体であって、それに格納された命令を有し、命令が、実行されると、金属表面を一又は複数の金属ハロゲン化物と接触させて、曝露された金属表面を形成することを含む、金属表面及び誘電体表面を有する基板を調整する方法であって、曝露された金属表面が、その上にオルガノシランをベースとする自己組織化単分子層が堆積することを抑制する、基板を調整する方法を生じさせる、コンピュータ可読媒体に関する。
いくつかの実施態様では、本開示は、コンピュータ可読媒体であって、それに格納された命令を有し、命令が、実行されると、(a)金属表面を一又は複数の金属塩化物、金属フッ化物、又はそれらの組み合わせと接触させて、曝露された金属表面を形成すること;(b)オルガノシランをベースとする自己組織化単分子層を誘電体表面上で成長させること;及び(c)基板の曝露された金属表面上に層を選択的に堆積させることであって、オルガノシランをベースとする自己組織化単分子層が誘電体表面上への層の堆積を抑制する、層を選択的に堆積させることを含む、金属表面及び誘電体表面を有する基板上に層を選択的に堆積させる方法を生じさせる、コンピュータ可読媒体に関する。
本開示は、他の半導体基板処理システムを使用して行われてもよく、処理パラメータは、本開示の精神から逸脱することなく本明細書に開示される教示を利用することにより当業者によって許容される特徴を達成するよう調整され得る。
上記は本開示の実施態様を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施態様及びさらなる実施態様が考案されてよい。

Claims (14)

  1. 金属表面及び誘電体表面を有する基板上に層を選択的に堆積させる方法であって、
    (a)前記金属表面を一又は複数の金属ハロゲン化物と接触させて、曝露された金属表面を形成すること;
    (b)オルガノシランをベースとする自己組織化単分子層を前記誘電体表面上で成長させること;及び
    (c)前記基板の前記曝露された金属表面上に層を選択的に堆積させることであって、前記オルガノシランをベースとする自己組織化単分子層が前記誘電体表面上への前記層の堆積を抑制する、層を選択的に堆積させること;
    を含み、前記金属表面を一又は複数の金属ハロゲン化物と接触させることが、300から400℃の第1の温度で実施される、方法。
  2. 前記金属表面を一又は複数の金属ハロゲン化物と接触させることが、1から15Torrの値の圧力で実施される、請求項1に記載の方法。
  3. 前記金属表面を一又は複数の金属ハロゲン化物と接触させることが、5分間から20分間実施される、請求項1又は2に記載の方法。
  4. 前記一又は複数の金属ハロゲン化物が気体である、請求項1からのいずれか一項に記載の方法。
  5. 前記一又は複数の金属ハロゲン化物が、WClx、NbClx、RuClx、MoClx、又はそれらの組み合わせを含む金属塩化物であり、xが整数である、請求項1からのいずれか一項に記載の方法。
  6. 前記金属表面を一又は複数の金属ハロゲン化物と前記接触させることが、酸素非含有チャンバ内で実施される、請求項1からのいずれか一項に記載の方法。
  7. 前記金属表面を一又は複数の金属ハロゲン化物と接触させることが、前記金属表面上に曝露された金属表面を形成する、請求項1からのいずれか一項に記載の方法。
  8. 前記オルガノシランをベースとする自己組織化単分子層を成長させることが、前記基板をオルガノシランを含む気体に曝露させることを含む、請求項1からのいずれか一項に記載の方法。
  9. 前記オルガノシランをベースとする自己組織化単分子層がC-8からC-30アルキル鎖を含む、請求項1からのいずれか一項に記載の方法。
  10. 前記オルガノシランをベースとする自己組織化単分子層を成長させることが、100から200℃の第1の温度で実施される、請求項1からのいずれか一項に記載の方法。
  11. 前記オルガノシランをベースとする自己組織化単分子層を成長させることが、10から350Torrの値の圧力で実施される、請求項1からのいずれか一項に記載の方法。
  12. 前記オルガノシランをベースとする自己組織化単分子層を成長させることが、2ら3時間の持続時間で実施される、請求項1から11のいずれか一項に記載の方法。
  13. 前記オルガノシランをベースとする自己組織化単分子層を成長させることが酸素非含有チャンバ内で実施され、前記オルガノシランをベースとする自己組織化単分子層がトリス(ジメチルアミノ)オクタデシルシランを含む、請求項1から12のいずれか一項に記載の方法。
  14. コンピュータ可読媒体であって、それに格納された命令を有し、前記命令が、実行されると、処理チャンバに、(a)金属表面を一又は複数の金属ハロゲン化物と接触させて、曝露された金属表面を形成すること;(b)オルガノシランをベースとする自己組織化単分子層を誘電体表面上で成長させること;及び(c)基板の前記曝露された金属表面上に層を選択的に堆積させることであって、前記オルガノシランをベースとする自己組織化単分子層が前記誘電体表面上への前記層の堆積を抑制する、層を選択的に堆積させることを含むとともに、前記金属表面を一又は複数の金属ハロゲン化物と接触させることが、300から400℃の第1の温度で実施される、前記金属表面及び前記誘電体表面を有する前記基板上に前記層を選択的に堆積させる方法を実施させる、コンピュータ可読媒体。
JP2021506423A 2018-08-10 2019-08-08 自己組織化単分子層を使用する選択的堆積のための方法 Active JP7189321B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862717452P 2018-08-10 2018-08-10
US62/717,452 2018-08-10
PCT/US2019/045719 WO2020033698A1 (en) 2018-08-10 2019-08-08 Methods for selective deposition using self-assembled monolayers
US16/535,499 US20200048762A1 (en) 2018-08-10 2019-08-08 Methods for selective deposition using self assembled monolayers
US16/535,499 2019-08-08

Publications (2)

Publication Number Publication Date
JP2021533272A JP2021533272A (ja) 2021-12-02
JP7189321B2 true JP7189321B2 (ja) 2022-12-13

Family

ID=69405587

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021506423A Active JP7189321B2 (ja) 2018-08-10 2019-08-08 自己組織化単分子層を使用する選択的堆積のための方法

Country Status (6)

Country Link
US (1) US20200048762A1 (ja)
JP (1) JP7189321B2 (ja)
KR (1) KR102643061B1 (ja)
CN (1) CN112567498A (ja)
TW (1) TWI727389B (ja)
WO (1) WO2020033698A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
US11903328B2 (en) * 2020-02-07 2024-02-13 International Business Machines Corporation Self assembled monolayer formed on a quantum device
JP2022075394A (ja) * 2020-11-06 2022-05-18 東京エレクトロン株式会社 基板処理方法および基板処理装置
JP7315744B1 (ja) * 2022-03-14 2023-07-26 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006112408A1 (ja) 2005-04-15 2006-10-26 National University Corporation Nagoya University 自己組織化単分子膜の作製方法とその利用
JP2016074948A (ja) 2014-10-07 2016-05-12 エア・ウォーターNv株式会社 金属の表面改質方法および金属製品
US20170037513A1 (en) 2015-08-03 2017-02-09 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積
JP2018026532A (ja) 2016-06-03 2018-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 領域選択堆積用の統合クラスタツール
US20180096847A1 (en) 2016-09-30 2018-04-05 Applied Materials, Inc. Methods Of Forming Self-Aligned Vias
US20180130657A1 (en) 2016-11-08 2018-05-10 Applied Materials, Inc. Geometric Control Of Bottom-Up Pillars For Patterning Applications
US20180130671A1 (en) 2016-11-08 2018-05-10 Applied Materials, Inc. Methods For Self-Aligned Patterning
JP2018512504A (ja) 2015-02-26 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己組織化単分子膜を用いた選択的誘電体堆積のための方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117247B (fi) * 2004-06-24 2006-08-15 Beneq Oy Materiaalin seostaminen selektiivisesti
US20170092533A1 (en) * 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
WO2017151639A1 (en) * 2016-03-03 2017-09-08 Applied Materials, Inc. Improved self-assembled monolayer blocking with intermittent air-water exposure
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006112408A1 (ja) 2005-04-15 2006-10-26 National University Corporation Nagoya University 自己組織化単分子膜の作製方法とその利用
JP2016074948A (ja) 2014-10-07 2016-05-12 エア・ウォーターNv株式会社 金属の表面改質方法および金属製品
JP2018512504A (ja) 2015-02-26 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己組織化単分子膜を用いた選択的誘電体堆積のための方法
US20170037513A1 (en) 2015-08-03 2017-02-09 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
JP2017222928A (ja) 2016-05-31 2017-12-21 東京エレクトロン株式会社 表面処理による選択的堆積
JP2018026532A (ja) 2016-06-03 2018-02-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 領域選択堆積用の統合クラスタツール
US20180096847A1 (en) 2016-09-30 2018-04-05 Applied Materials, Inc. Methods Of Forming Self-Aligned Vias
US20180130657A1 (en) 2016-11-08 2018-05-10 Applied Materials, Inc. Geometric Control Of Bottom-Up Pillars For Patterning Applications
US20180130671A1 (en) 2016-11-08 2018-05-10 Applied Materials, Inc. Methods For Self-Aligned Patterning

Also Published As

Publication number Publication date
TWI727389B (zh) 2021-05-11
JP2021533272A (ja) 2021-12-02
WO2020033698A1 (en) 2020-02-13
CN112567498A (zh) 2021-03-26
KR20210031530A (ko) 2021-03-19
KR102643061B1 (ko) 2024-02-29
US20200048762A1 (en) 2020-02-13
TW202009318A (zh) 2020-03-01

Similar Documents

Publication Publication Date Title
JP7189321B2 (ja) 自己組織化単分子層を使用する選択的堆積のための方法
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
JP2018512504A (ja) 自己組織化単分子膜を用いた選択的誘電体堆積のための方法
JP5599437B2 (ja) 半導体基板を処理する方法
JP7242837B2 (ja) 選択的な酸化アルミニウム膜の堆積
US10199230B2 (en) Methods for selective deposition of metal silicides via atomic layer deposition cycles
JP2018142698A (ja) エアギャップの形成方法
US9984892B2 (en) Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
TW201719719A (zh) 用於原位清洗銅表面以及沉積與移除自組裝單層的方法與設備
US10163656B2 (en) Methods for dry etching cobalt metal using fluorine radicals
US20200071816A1 (en) Methods for selective deposition using molybdenum hexacarbonyl
JP7433437B2 (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
US10879081B2 (en) Methods of reducing or eliminating defects in tungsten film
JP2022533388A (ja) in-situ原子層堆積プロセス
WO2023166987A1 (ja) ハードマスク、基板処理方法、およびハードマスクの除去方法
TW202343548A (zh) 用於cmos裝置的觸點形成處理

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210330

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220726

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221101

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221201

R150 Certificate of patent or registration of utility model

Ref document number: 7189321

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150