JP2022533388A - in-situ原子層堆積プロセス - Google Patents

in-situ原子層堆積プロセス Download PDF

Info

Publication number
JP2022533388A
JP2022533388A JP2021568761A JP2021568761A JP2022533388A JP 2022533388 A JP2022533388 A JP 2022533388A JP 2021568761 A JP2021568761 A JP 2021568761A JP 2021568761 A JP2021568761 A JP 2021568761A JP 2022533388 A JP2022533388 A JP 2022533388A
Authority
JP
Japan
Prior art keywords
substrate
gas precursor
gas
pulsing
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021568761A
Other languages
English (en)
Other versions
JPWO2020236303A5 (ja
Inventor
サン ウク パク,
シャオルイ ツイ,
スニル スリニバサン,
ラジンダー ディンサ,
チョンホア ヤオ,
リン ユ,
オリヴィエ ルエール,
ジョナサン ソンフル キム,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022533388A publication Critical patent/JP2022533388A/ja
Publication of JPWO2020236303A5 publication Critical patent/JPWO2020236303A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Abstract

本開示の実施形態は、パターニングプロセスの間、最中、前、又は後に、基板上に所望の材料層を形成するための方法及び装置を提供する。一実施形態では、基板上に材料層を形成するための方法は、有機ケイ素化合物を含む第1のガス前駆体を基板の表面上にパルス状にすることを含む。該方法はまた、第1の元素を第1のガス前駆体から基板の表面に配置することを含む。この方法は、第1の元素を配置している間、基板の温度を摂氏約110度未満に維持することをさらに含む。第2のガス前駆体は基板の表面上にパルス状にされる。さらに、該方法は、基板の表面上の第2のガス前駆体から第1の元素に第2の元素を配置することを含む。【選択図】図2

Description

背景
分野
本開示の例は、概して、堆積プロセスに関する。特に、本開示の実施形態は、エッチングチャンバ内でその場での原子層堆積プロセスを使用して、基板上に材料層を形成するための方法を提供する。
関連技術の説明
集積回路(IC)又はチップの製造では、チップの様々な層を表すパターンがチップ設計者によって作成される。一連の再利用可能なマスク、又はフォトマスクは、製造プロセス中に各チップ層の設計を半導体基板に転写するために、これらのパターンから作成される。マスクパターン生成システムは、精密レーザー又は電子ビームを使用して、チップの各層のデザインをそれぞれのマスクに画像化する。次に、マスクは写真ネガのように使用され、各層の回路パターンを半導体基板に転写する。これらの層は一連のプロセスを使用して構築され、完成した各チップを含む小さなトランジスタと電気回路に変換される。したがって、マスクの欠陥がチップに移り、性能に悪影響を与える可能性がある。十分に深刻な欠陥があると、マスクが完全に役に立たなくなる可能性がある。通常、15~100個のマスクのセットを使用してチップを構築し、繰り返し使用できる。
限界寸法(CD)の縮小に伴い、現在の光リソグラフィは45ナノメートル(nm)の技術ノードで技術的限界に近づいている。次世代リソグラフィ(NGL)は、例えば20nmテクノロジーノード以降で、従来の光リソグラフィ法に取って代わることが期待されている。パターン化されたマスクの画像は、フォトレジストの層でコーティングされた基板表面に高精度光学システムを介して投影される。次に、複雑な化学反応と、現像、露光後のベーク、湿式又はドライエッチングなどの後続の製造ステップの後に、基板表面にパターンが形成される。
マルチパターニング技術は、フォトリソグラフィのために開発された技術であり、特徴の密度と精度を向上させる。この手法は、同じ層内のパターンが異なって見えるか、密度やピッチに互換性がない場合によく使用される。さらに、各パターニングプロセスの間に、次のパターニングプロセスを可能にするために、追加の層若しくは構造を形成、追加、又は補充することができる。さらに、フィーチャサイズが小さくなるにつれて、フィーチャの深さとフィーチャの幅の比率として定義される、より高いアスペクト比の需要が着実に20:1以上にまで増加している。そのような高いアスペクト比を有する特徴又は堆積材料層をそのような高いアスペクト比のフィーチャに確実に形成することができるエッチング処理及び堆積プロセスを開発することは、重大な課題を提示する。
したがって、高いアスペクト比又は他の所望のプロファイルを有するフィーチャのための所望の材料を用いて、パターニングプロセス並びに堆積プロセスを実行するための装置が必要とされている。
本開示の実施形態は、基板上に所望の材料層を形成するための方法及び装置を提供する。一実施形態では、基板上に材料層を形成するための方法は、有機ケイ素化合物を含む第1のガス前駆体を基板の表面上にパルス状にすることを含む。この方法は、第1の元素を第1のガス前駆体から基板の表面に配置することを含む。この方法は、第1の元素を配置している間、基板の温度を摂氏約110度未満に維持することをさらに含む。さらに、この方法は、基板の表面上に第2のガス前駆体をパルス状にすることを含む。この方法は、第2のガス前駆体から基板の表面上の第1の元素に第2の元素を配置することを含む。
別の実施形態では、基板上に材料層を形成するための方法は、エッチング処理チャンバ内に配置された基板に対して、第1の元素を含む有機ケイ素化合物を含む第1のガス前駆体をパルス状にすることを含む。本方法は、第2の元素を含む第2のガス前駆体を、エッチング処理チャンバ内に配置された基板にパルス状にすることを含む。さらに、この方法は、エッチング処理チャンバ内の基板の表面上に材料層を形成することを含む。材料層には、第1の元素と第2の元素が含まれる。
さらに別の実施形態では、基板上に材料層を形成するための方法は、エッチング処理チャンバ内に配置された基板の表面に第1のガス前駆体及び第2のガス前駆体を順次パルス状にすることを含む。第1のガス前駆体は、有機ケイ素化合物を含む。基板の温度は摂氏110度未満に維持される。本方法は、基板の表面上に材料層を選択的に形成することを含む。
図面の簡単な説明
本開示の上記の特徴が達成され、詳細に理解され得るように、上で簡単に要約された本開示のより具体的な説明は、添付の図面に示されるその実施形態を参照することによって得ることができる。
本開示の1つ又は複数の実施形態による、パターニング処理を実行するように構成された処理チャンバの概略断面図である。 本開示の1つ又は複数の実施形態による、堆積プロセスを実行するための方法のフローチャートである。 図3Aは、図2の堆積プロセス中の基板の断面図を示している。 図3Bは、図2の堆積プロセス中の基板の断面図を示している。 図3Cは、図2の堆積プロセス中の基板の断面図を示している。 図3Dは、図2の堆積プロセス中の基板の断面図を示している。 図3Eは、図2の堆積プロセス中の基板の断面図を示している。
理解を容易にするために、可能な場合は、図に共通する同一の元素を示すために同一の参照番号が使用されている。一実施形態の元素及び特徴は、さらに列挙することなく、他の実施形態に有益に組み込まれ得ることが企図される。
しかしながら、添付の図面は、本開示の例示的な実施形態のみを示しており、したがって、その範囲を限定すると見なされるべきではないことに留意されたい。
詳細な説明
所望の小さな寸法を有するナノ構造上又はナノ構造内に材料層を形成するための方法が提供される。本方法は、エッチングチャンバなどの処理チャンバ内で、摂氏110度未満などの比較的低温での原子層堆積プロセスを利用する。前駆体を適切に選択し、プロセスパラメータを制御することにより、材料層は、基板上に形成されるか、又は板上に形成された20:1を超えるなどの高アスペクト比を有するフィーチャに充填され得る。材料層はまた、摂氏110度未満のプロセス温度の下で形成され得、その結果、堆積プロセスがエッチング処理チャンバ内で形成されることを可能にし、これは、摂氏110度未満などの室温で動作する基板支持体アセンブリを備えている。
ここで使用される「基板」という用語は、その後の処理操作の基礎として機能し、洗浄される表面を含む材料の層を指す。例えば、基板は、ケイ素含有材料、第IV族又は第III~V族含有化合物、例えば、Si、ポリシリコン、アモルファスシリコン、Ge、SiGe、GaAs、InP、InAs、GaAs、GaP、InGaAs、InGaAsP、GaSb、InSbなど、又はそれらの組み合わせを含む1つ又は複数の材料を含むことができる。さらに、基板は、二酸化ケイ素、有機ケイ酸塩、及び炭素ドープ酸化ケイ素などの誘電体材料を含むこともできる。基板はまた、ニッケル、チタン、白金、モリブデン、レニウム、オスミウム、クロム、鉄、アルミニウム、銅、タングステン、又はそれらの組み合わせなどの1つ又は複数の導電性金属を含み得る。さらに、基板は、用途に応じて、金属窒化物、金属酸化物、及び金属合金などの他の任意の材料を含むことができる。1つ又は複数の実施形態では、基板は、接触構造、金属ケイ化物層、又はゲート誘電体層とゲート電極層を含むゲート構造を形成して、その後、その上に形成されるプラグ、ビア、コンタクト、ライン、ワイヤなどの相互接続機能、又は導体デバイスで利用される適切な構造との接続を容易にする。
さらに、基板は特定のサイズ又は形状に限定されない。基板は、直径200mm、直径300mm、直径450mm又は他の直径を有する円形ウエハであり得る。基板はまた、フラットパネルディスプレイの製造に使用される多角形ガラス、プラスチック基板などの、任意の多角形、正方形、長方形、湾曲、又はその他の非円形のワークピースであり得る。
図1は、プラズマ処理チャンバ100内の基板302上に配置された材料層を形成するだけでなく、材料層をパターン化するのに適した例示的なプラズマ処理チャンバ100の簡略断面図である。例示的なプラズマ処理チャンバ100は、堆積プロセスを実行するのに適している。本開示の恩恵を受けるように適合させることができるプラズマ処理チャンバ100の一例は、カ リフォルニア州サンタクララにあるApplied Materials, Inc.から入手可能なCENTRIS(登録商標)Sym3(商標)エッチング処理チャンバである。他の製造業者からのものを含む他の処理チャンバは、本開示の実施形態を実施するために適合され得ることが企図される。
プラズマ処理チャンバ100は、その中に定義されたチャンバ容積101を有するチャンバ本体105を含む。チャンバ本体105は側壁112及び底部118を有し、これらは接地126に接続される。側壁112は、側壁112を保護し、プラズマ処理チャンバ100のメンテナンスサイクル間の時間を延長するためのライナー115を有する。プラズマ処理チャンバ100のチャンバ本体105及び関連する構成要素の寸法は限定されず、そこで処理される基板302のサイズよりも比例して大きくてもよい。基板サイズの例としては、限定するものではないが、直径200mm、直径250mm、直径300mm、及び直径450mmのものが含まれる。
チャンバ本体105は、チャンバ容積101を封入するためにチャンバ蓋アセンブリ110を支持する。チャンバ本体105は、アルミニウム又は他の適切な材料から製造することができる。基板アクセスポート113は、チャンバ本体105の側壁112を介して形成され、プラズマ処理チャンバ100への及びプラズマ処理チャンバ100からの基板302の移動を容易にする。基板アクセスポート113は、基板処理システム(図示せず)の移送チャンバ及び/又は他のチャンバに結合することができる。
ポンプポート145は、チャンバ本体105の側壁112を介して形成され、チャンバ容積101に接続されている。ポンピング装置(図示せず)は、内部を排気し圧力制御するために、ポンピングポート145を介して処理空間101に連結される。ポンピング装置は、一又は複数のポンプ及びスロットルバルブを含みうる。
ガスパネル160は、ガスライン167によってチャンバ本体105に結合されて、プロセスガスをチャンバ容積101に供給する。ガスパネル160は一又は複数の処理ガス源161、162、163、164を含み、所望であれば不活性ガス、非反応性ガス、及び反応性ガスを追加的に含みうる。ガスパネル160によって提供され得るプロセスガスの例には、メタン(CH)を含む炭化水素含有ガス、六フッ化硫黄(SF)、塩化ケイ素(SiCl)などのケイ素含有ガス、又は有機ケイ素含有ガス、例えば、ビス(ジエチルアミド)シラン(BDEAS)、トリス(ジメチルアミノ)シラン(TDMAS)、ビス(ターシャリーブチルアミノ)シラン(BTBAS)、四フッ化炭素(CF)、臭化水素(HBr)、炭化水素含有ガス、アルゴンガス(Ar)、塩素(Cl)、窒素(N)、ヘリウム(He)、酸素ガス(O)が含まれるが、これらに限定されない。さらに、プロセスガスには、窒素、塩素、フッ素、酸素、及び水素を含むガス、とりわけBCl、C、C、C、CHF、CH、CHF、NF、NH、CO、SO、CO、N、NO、NO及びHを含むことができる。
バルブ166は、ガスパネル160からの供給源161、162、163、164からのプロセスガスの流れを制御し、コントローラ165によって管理される。ガスパネル160からチャンバ本体105に供給されるガスの流れは、ガスの組み合わせを含みうる。
チャンバ蓋アセンブリ110は、ノズル114を含み得る。ノズル114は、ガスパネル160のソース161、162、164、163からの処理ガスをチャンバ空間101に導入するための一又は複数のポートを有する。プロセスガスがプラズマ処理チャンバ100に導入された後、ガスはエネルギーを与えられてプラズマを形成する。1つ又は複数のインダクタコイルなどのアンテナ148は、プラズマ処理チャンバ100に隣接して提供され得る。アンテナ電源142は、整合回路141を介してアンテナ148に電力を供給して、RFエネルギーなどのエネルギーをプロセスガスに誘導的に結合して、プラズマ処理チャンバ100のチャンバ容積101内のプロセスガスから形成されるプラズマを維持することができる。アンテナ電源142に代えて、又は追加して、基板302の下方及び/又は基板302の上方の処理電極は、チャンバ空間101内にプラズマを維持するため、処理ガスにRF電力を容量結合するために使用されうる。アンテナ電源142の動作は、プラズマ処理チャンバ100内の他の構成要素の動作も制御するコントローラ165などのコントローラによって制御することができる。
基板支持ペデスタル135は、処理中に基板302を支持するために、チャンバ容積101内に配置される。基板支持ペデスタル135は、処理中に基板302を保持するための静電チャック(ESC)122を含み得る。ESC122は、静電引力を使用して、基板302を基板支持体ペデスタル135に保持する。ESC122は、整合回路124と一体化したRF電源125から給電される。ESC122は、誘電体本体内に埋め込まれた電極112を含む。電極112は、RF電源125に結合され、チャンバ容積101内のプロセスガスによって形成されたプラズマイオンを、ESC122及びその上に配置された基板302に引き付けるバイアスを提供する。RF電源125は、基板302の処理中に、オンとオフを繰り返すか、又はパルス状にすることができる。ESC122は、ESC122の保守寿命を延ばすため、ESC122の側壁がプラズマに引き寄せられにくくするための絶縁部128を有する。さらに、基板支持体ペデスタル135は、プラズマガスから基板支持体ペデスタル135の側壁を保護し、プラズマ処理チャンバ100のメンテナンス間の時間を延長するために、カソードライナー136を有することができる。
さらに、電極112は、電源150に結合されている。電源150は、電極212に約200ボルト~約2000ボルトのチャッキング電圧を提供する。電源150はまた、基板302をチャッキング及びデチャッキングするためにDC電流を電極212に向けることによって電極112の動作を制御するためのシステムコントローラを含み得る。
ESC122は、基板を加熱するために、その中に配置され、電源(図示せず)に接続されたヒータを含み得る一方で、ESC122を支持する冷却ベース129は、熱伝達流体を循環させて、ESC122及びその上に配置された基板302の温度を維持するための導管を含み得る。ESC122は、基板302上に製造されるデバイスの熱収支によって望まれる温度範囲で動作するように構成される。例えば、ESC122は、特定の実施形態について、基板302を摂氏約マイナス約25℃から約150度の温度に維持するように構成され得る。
冷却ベース129は、基板302の温度を制御するのを助けるために提供される。プロセスドリフト及び時間を軽減するために、基板302の温度は、基板302が洗浄チャンバ内にある間中、冷却ベース129によって実質的に一定に維持され得る。一実施形態では、基板302の温度は、その後の洗浄プロセス全体を通して、摂氏約30度~120度に維持される。
カバーリング130は、ESC122上に、そして基板支持体ペデスタル135の周囲に沿って配置される。カバーリング130は、プラズマ処理チャンバ100内のプラズマ環境から基板支持体ペデスタル135の上面を遮蔽しながら、エッチングガスを基板302の露出された上面の所望の部分に閉じ込めるように構成される。基板302を基板支持ペデスタル135の上方に持ち上げて、移送ロボット(図示せず)又はその他の適切な移送機構による基板302へのアクセスを容易にするために、リフトピン(図示せず)は、基板支持ペデスタル135を通して選択的に移動される。
コントローラ165は、プロセスシーケンスを制御するために利用され得、ガスパネル160からプラズマ処理チャンバ100へのガス流及び他のプロセスパラメータを調整する。ソフトウェアルーチンは、CPUによって実行されると、CPUを、本開示に従ってプロセスが実行されるようにプラズマ処理チャンバ100を制御する特定の目的のコンピュータ(コントローラ)に変換する。ソフトウェアルーチンはまた、プラズマ処理チャンバ100と併置された第2のコントローラ(図示せず)によって格納及び/又は実行され得る。
図2は、エッチング又はパターニング処理チャンバ内の基板上に材料層を堆積するためのインシトゥ(その場)堆積プロセスのための方法200の一例の流れ図である。材料層は、後で、マスク層、ライナー層、バリア層、スペーサ層、充填層、又はパッシベーション層として機能するように利用されて、材料層の下に配置された下にある層へのさらなるフィーチャの移動のために、基板上のフィーチャの寸法又はプロファイルをさらに変更する。図3A~図3Eは、方法200の様々な段階に対応して形成された構造304を有する基板302の一部の断面図である。
方法200を利用して、異なる構造を形成するために、異なる材料要件を有する基板302上に形成された構造304上に材料層を堆積させることができる。下にある層(図示せず)に適した材料には、層間誘電体層、接触誘電体層、ゲート電極層、ゲート誘電体層、STI絶縁層、金属間層(IML)、又は任意の適切な層が含まれ得る。構造304は、結晶シリコン(例えば、Si<100>又はSi<111>)、酸化ケイ素、歪みシリコン、シリコンゲルマニウム、ゲルマニウム、ドープされた若しくはドープされていないポリシリコン、ドープされた若しくはドープされていないシリコンウエハ、及び絶縁体上のパターン化若しくは非パターン化ウエハシリコン(SOI)、炭素ドープケイ素酸化物、窒化ケイ素、ドープされたケイ素、ゲルマニウム、ガリウムヒ素、ガラス、又サファイアなどの材料であり得る。構造304は、200mm、300mm、450mm又は他の直径などの様々な寸法を有し得、並びに長方形又は正方形のパネルであり得る。特に断りのない限り、ここに記載の例は、直径200mm、直径300mm、又は直径450mmの基板上で実施される。
あるいはまた、方法200は、必要に応じて適切なタイプの構造上に材料を形成するために有益に利用され得る。
方法200は、図3Aに示されるように、その上に形成された構造304を有する基板302を提供することによって、操作202で開始する。基板302は、堆積プロセスを実行するために、図1に示されるプラズマ処理チャンバ100などの処理チャンバに配置される。一例では、プラズマ処理チャンバ100は、堆積プロセスを実行するために基板302をその中に配置することを可能にするエッチングチャンバ又はパターニングチャンバである。構造304は、互いに所望の距離で形成されたパターン化されたフィーチャを含む。一実施形態では、構造304は、半導体デバイス内に層を形成するために利用される誘電体層又はフォトレジスト層から製造され得る。誘電体層の適切な例には、炭素含有酸化ケイ素(SiOC)、ポリアミドなどのポリマー材料、SOG、USG、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、オキシ炭化ケイ素などが含まれる。
図3A~図3Eに示される例では、構造304は、ケイ素含有材料又は誘電体層を含む。シリコン含有材料の適切な例には、結晶シリコン、酸化ケイ、歪みシリコン、シリコンゲルマニウム、ゲルマニウム、ドープされた若しくはドープされていないポリシリコン、及び必要に応じて他のドープされた若しくはドープされていないケイ素含有材料が含まれる。誘電体層の適切な例は、必要に応じて、酸化ケイ素、窒化ケイ素、酸窒化ケイ素(SiON)、オキシ炭化ケイ素(SiOC)、又はアモルファスカーボン材料であり得る。
操作204において、第1のガス前駆体306は、図3Bに示されるように、プラズマ処理チャンバ100内の基板302の表面に供給される。一例では、第1のガス前駆体306は、ケイ素元素350などの第1の元素を含み、これは、基板302及び構造304に対して高い吸収能力を有し得る。例えば、基板302及び/又は構造304が、第1のガス前駆体306内の原子又は元素と同一又は類似である原子又は元素を含む場合、第1のガス前駆体306からの原子又は元素は、基板302からの及び/又は構造304からの原子又は元素に首尾よく接着、吸収、又は付着されて、それらの間の付着及び結合を強化し得る。例えば、基板302及び/又は構造304がケイ素元素350を含む場合、選択された第1のガス前駆体306からの第1の元素はまた、ケイ素元素を含み、その結果、第1のガス前駆体306からのケイ素元素は、基板302及び/又は構造304からのケイ素元素に首尾よく接着、吸収、又は付着され得る第1のガス前駆体306の適切な例は、有機ケイ素化合物などのケイ素含有ガスである。有機ケイ素化合物は、-10℃と約50℃との間など、室温で液体状態として維持されることが望ましい。さらに、有機ケイ素化合物はまた、室温環境に置かれたときに比較的安定した状態に維持される。一例では、有機ケイ素化合物は、アミノシラン前駆体を含む。アミノシラン前駆体からのアミノ配位子は、ケイ素から容易に解離するように構成されており、ケイ素のダングリングボンドが表面との化学吸着を形成する可能性がある。同時に、他の配位子は他の前駆体とのさらなる反応を妨げており、したがって自己制限特性を達成することができた。
有機ケイ素化合物の適切な例には、ビス(ジエチルアミド)シラン(BDEAS)、トリス(ジメチルアミノ)シラン(TDMAS)、ビス(ターシャリーブチルアミノ)シラン(BTBAS)、及びトリシリルアミン(TSA)が含まれる。1つの特定の例では、第1のガス前駆体306のために選択される有機ケイ素化合物は、ビス(ジエチルアミド)シラン(BDEAS)又はビス(ターシャリーブチルアミノ)シラン(BTBAS)である。
ケイ素元素350は、基板302及び/又は構造304の表面に吸収される第1のガス前駆体306からの第1の元素として機能する。
第1のガス前駆体306は、プラズマ処理チャンバ100にパルス状にされて、原子層堆積(ALD)プロセスを実行する。例えば、ALDプロセスの各パルスにより、材料層の単分子層の成長と堆積が可能になる。原子層堆積(ALD)プロセスは、自己停止/成長を制限する化学気相堆積(CVD)プロセスである。ALDプロセスは、わずか数オングストーム又は単分子層レベルの厚みを生み出す。ALDプロセスは、化学反応を2つの別個の半反応に分配することによって制御され、これらはサイクルで繰り返され、ここに記載の方法200の操作204及び208に含まれる。ALDプロセスによって形成される材料層の厚さは、反応サイクルの数に依存する。第1のガス前駆体306パルスは、所定の時間間隔の間続く。パルスという用語はここで使用されているように、処理チャンバに注入される材料の投与を意味する。
操作204での第1のガス前駆体306からの第1の反応は、基板上に吸収される分子層の第1の原子層(例えば、第1のガス前駆体からの第1の元素から供給される)及び操作208で後述する第2のガス前駆体は、第1の原子層に吸収される分子層の第2の原子層を提供する。図3Bに示す例では、第1のガス前駆体306(例えば、ビス(ジエチルアミド)シラン(BDEAS)前駆体)には、ケイ素及び水素などの複数の元素と、N-(C配位子などの配位子が含まれている。以下に、一例として、第1のガス前駆体306に使用されたビス(ジエチルアミド)シラン(BDEAS)前駆体の化学構造を示す。
Figure 2022533388000002
第1のガス前駆体306が基板に供給されると、ケイ素元素350は、構造304の上面及び側壁、並びにケイ素元素を有する基板302の上面308に吸収されて付着する傾向がある。図3Bに示すように、次に、基板302及び/又は構造304からの同じ元素を共有しない水素元素305及び配位子307(例えば、N-(C配位子)などの他の元素は、基板302及び/又は構造304からの同じ元素を共有しなく、構造304及び/又は基板302に、緩い結合又は結合なしで、構造304に隣接してぶら下がっている。したがって、選択的堆積プロセスは、第1のガス前駆体306からの第1の元素から類似又は同じ元素を提供する基板の特定の表面上に第1の単分子層を形成することによっても得られる。
いくつかのプロセスパラメータもまた、第1のガス前駆体306のパルス状にする間に調整される。一実施形態では、プロセス圧力は、約1mTorrと約100mTorrとの間で制御される。処理温度は、摂氏約110度未満、例えば、摂氏約-10度と摂氏約110度との間、例えば、摂氏約20度と摂氏約90度との間に維持される。第1のガス前駆体306を供給している間、RFバイアス電力又はRF源電力などのRF電力は、必要に応じて排除され得る。プラズマのない環境は、元素が徐々に且つゆっくりと基板表面に落下することを可能にし、したがって、基板表面への材料層のコンフォーマルな堆積を強化すると考えられている。いくつかの実施形態では、RF源又はバイアス電力は、必要に応じて第1のガス前駆体306を供給しながら、プラズマを生成するために必要に応じて代替的又は同時に適用され得る。第1のガス前駆体306は、約5sccmと約150sccmとの間で供給され得る。第1の前駆体ガスの各パルスは、約3Åと約5Åとの間の厚さを有する材料層360(図3Eに示されるように)の第1の単分子層を堆積させることができる。
図3Cに示すように、次に、操作206で、パージガスがプラズマ処理チャンバ100に供給されて、基板302及び/又は構造304に付着されていない原子及び/又は元素(例えば、水素元素305及び配位子307(例えば、N-(C配位子))をパージする。パージガスの適切な例には、Ar若しくはHeなどの挿入ガス、窒素含有ガス、又は他の適切なガスが含まれる。
パージガス混合物のパルス状にする間に、いくつかのプロセスパラメータも調整される。一実施形態では、プロセス圧力は、約1mTorrと約100mTorrとの間で制御される。処理温度は、摂氏約110度未満、例えば、摂氏約-10度と摂氏約110度との間、例えば、摂氏約20度と摂氏約100度との間に維持される。RF源電力は、約500ワットと約1000ワットとの間など、約100ワットと約1200ワットとの間で制御することができる。RFバイアス電力は、約50ワットと約100ワットとの間など、約10ワットと約200ワットとの間で制御することができる。パージガスは、約5sccmと約150sccmとの間で供給され得る。
操作208において、第2のガス前駆体310は、図3Dに示されるように、プラズマ処理チャンバ100内の基板302の表面に供給される。一例では、第2のガス前駆体310は、基板302上のケイ素元素350などの第1の元素及び/又は第1のガス前駆体306から提供される構造304と反応することができる第2の元素を含む。パルスとしての第2の元素は、基板302及び/又は構造304の表面313、314及び側壁312上のケイ素元素350などの第1の元素と反応及び結合する。図3Dに配置された例では、第2のガス前駆体310は、酸素又は窒素含有ガスを含み、酸素又は窒素元素311を提供する。第1のガス前駆体からの元素と反応する元素又は原子を提供することができる他の適切な第2のガス前駆体310もまた、必要に応じて利用され得ることに留意されたい。酸素又は窒素元素311は、ケイ素元素350と反応する。次に、酸素又は窒素元素311は、基板302及び/又は構造304上のケイ素元素350によって吸収され、基板302及び/又は構造304の表面及び側壁上に(図3Eに示されるように)材料層360を形成する。第2の元素が酸素元素311である例では、基板302上に形成された材料層360は、酸化ケイ素層である。第2の元素が窒素元素311である別の例では、基板302上に形成された材料層360は、窒化ケイ素層である。
酸素含有ガスの適切な例には、O、CO、HOなどが含まれる。窒素含有ガスの適切な例には、N、NO、NO、NHなどが含まれる。一例では、酸素含有ガスはOであり、窒素含有ガスはNH又はNである。
異なるプロセス要件に基づいて、プロセスパラメータは、操作208で異なって制御され得る。図3D及び図3Eに示されるように、材料層360が基板302及び/又は構造304を横切って共形に形成されることが望まれる例では、適切な範囲のRFバイアス電力及び/又はソース電力を適用して、元素を活性化するだけでなく、基板302及び/又は構造304の表面及び側壁に向かって元素又は原子の方向性を提供することができる。RFバイアス電力及び/又はRF源電力の助けを借りて、第2のガス前駆体310からの元素又は原子は、構造304の上面に留まることができ、並びに、構造304の側壁及び基板302の上面308に向かって加速されることができる。
いくつかのプロセスパラメータもまた、第2のガス前駆体310のパルス状にする間に調整される。一実施形態では、プロセス圧力は、約1mTorrと約100mTorrとの間で制御される。処理温度は、摂氏約110度未満、例えば、摂氏約-10度と摂氏約110度との間、例えば、摂氏約20度と摂氏約100度との間に維持される。RF源電力は、約500ワット及び約1000ワットなど、約100ワットと約2500ワットとの間で制御することができる。RFバイアス電力は、第2のガス前駆体を供給している間に任意に供給され得る。適用されるRF源及びバイアス電力は、ケイ素元素350への酸素又は窒素元素311の吸収を増強するように、活性化/励起状態での基板302からの酸素又は窒素元素311並びにケイ素元素350の活性化を支援し得ると考えられている。第2の前駆体ガスの各パルスは、約3Åと約15Åとの間の厚さを有する材料層360の第1の単分子層を堆積させることができる。
操作206でのパージガス供給と同様に、次に、操作210で、パージガスがプラズマ処理チャンバ100に供給されて、図3Eに示されるように、基板302及び/又は構造304に付着されていない原子及び/又は元素をパージする。パージガスの適切な例には、Ar若しくはHeなどの挿入ガス、窒素含有ガス、又は他の適切なガスが含まれる。
パージガス混合物のパルス状にする間に、いくつかのプロセスパラメータも調整される。一実施形態では、プロセス圧力は、約1mTorrと約100mTorrとの間で制御される。処理温度は、摂氏約110度未満、例えば摂氏約-10度と摂氏約120度との間、例えば、摂氏約20度と摂氏約100度との間に維持される。RF源電力は、約500ワットと約1000ワットとの間など、約100ワットと約2500ワットとの間で制御することができる。RFバイアス電力は、約50ワットと約100ワットとの間など、約10ワットと約500ワットとの間で制御することができる。パージガスは、約5sccmと約150sccmとの間で供給され得る。
このように、操作204及び208からの第1の元素及び第2の元素から構成される単層の秩序だった構造は、次に、基板302の所望の位置で構造化材料層360上に形成される。操作204での第1のガス前駆体306からの第1の単分子層は、化学反応によって基板302及び構造304の所望の位置に吸収され、これにより、第1の単分子層からの原子が、基板302及び構造304の原子にしっかりと付着することが可能になる。次に、操作208で第2のガス前駆体310から続いて形成される第2の単分子層が、基板302及び構造304の所望の位置に選択的に形成され、したがって、エッチングチャンバなどの処理チャンバ内で、摂氏110度未満などの低温でALDプロセスの堆積を可能にする。
操作204及び208における第1のガス前駆体306又は第2のガス前駆体310の各パルス間に、操作206でのパージガスは、不純物又は残留前駆体ガス混合物を除去するために、第1及び/又は第2のガス前駆体306、310の各又は複数のパルス間に処理チャンバにパルス状にされ得、これは、基板表面によって未反応/非吸収であるため(例えば、反応ガス混合物などからの未反応の不純物)、処理チャンバからポンプで排出することができる。
第2のガス前駆体310が酸素含有ガスである例では、得られる材料層360は酸化ケイ素層である。第2のガス前駆体310が窒素含有ガスである例では、得られる材料層360は窒化ケイ素層である。
操作204での第1のガス前駆体306をパルス状にすることから始まる追加のサイクルであって、次に、操作206でのパージガス供給及び操作208での第2のガス前駆体310は、材料層360の所望の厚さが得られるまで繰り返し実行することができることに留意されたい。第1のガス前駆体306をパルス状にする後続のサイクルが開始すると、プロセス圧力及び他のプロセスパラメータは、材料層360の後続の単分子層の堆積を支援するために所定のレベルに調整され得る。
したがって、基板の構造上に材料層を形成するための堆積方法が提供される。堆積方法は、摂氏110度未満の温度で実行されるALDのような堆積プロセスを利用して、エッチング処理チャンバ内に材料層を形成し、その結果、エッチングプロセスは、必要に応じて材料層の堆積プロセスの直後に続くことができる。さらに、低温堆積プロセスにより、20:1を超える高アスペクト比など、低速でコンフォーマルな堆積プロファイルを必要とする適切な機能を備えた任意の基板に材料層を形成することもできる。したがって、プロセスサイクルタイムと製造スループットが改善され、適切に管理される可能性がある。
上記は本開示の実施形態に向けられているが、本開示の他の及びさらなる実施形態は、その基本的な範囲から逸脱することなく考案することができ、その範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 基板上に材料層を形成するための方法であって:
    有機ケイ素化合物を含む第1のガス前駆体を前記基板の表面にパルス状にすることと;
    前記第1のガス前駆体からの第1の元素を前記基板の表面上に配置することと;
    前記第1の元素を配置する間、前記基板の温度を摂氏約110度未満に維持することと;
    前記基板の表面上に第2のガス前駆体をパルス状にすることと;
    前記第2のガス前駆体からの第2の元素を、前記基板の表面上の前記第1の元素に配置することと
    を含む、方法。
  2. 前記第1のガス前駆体をパルス状にすることが:
    前記第1のガス前駆体からプラズマを生成することなく前記第1のガス前駆体をパルス状にすることをさらに含み、前記第1のガス前駆体は、エッチング処理チャンバ内に配置された前記基板の表面上にパルス状にされる、請求項1に記載の方法。
  3. 前記第1のガス前駆体が、RF源電力又はバイアス電力を印加することなく前記基板の表面にパルス状にされ、前記基板の温度は、前記第1のガス前駆体をパルス状にする間約-20℃と約50℃との間に維持される、請求項1に記載の方法。
  4. 前記有機ケイ素化合物がアミノシランを含み、前記有機ケイ素化合物が、ビス(ジエチルアミド)シラン(BDEAS)又はトリス(ジメチルアミノ)シラン(TDMAS)、ビス(ターシャリーブチルアミノ)シラン(BTBAS)の少なくとも1つである、請求項1に記載の方法。
  5. 前記第2のガス前駆体をパルス状にすることが:
    前記第2のガス前駆体をパルス状にする間RF源電力又はRFバイアス電力を適用することをさらに含む、請求項1に記載の方法。
  6. パージガスが、前記第1のガス前駆体をパルス状にすることと前記第2のガス前駆体をパルス状にすることとの間に供給される、請求項1に記載の方法。
  7. 前記第2のガス前駆体が、窒素又は酸素含有ガスを含む、請求項1に記載の方法。
  8. 前記窒素又は酸素含有ガスがN又はOである、請求項7に記載の方法。
  9. 前記基板上に配置されたフィーチャの表面上に共形性に材料層を形成することをさらに含み、前記フィーチャは、20:1を超えるアスペクト比を有し、前記材料層は、酸化ケイ素又は窒化ケイ素から形成される、請求項1に記載の方法。
  10. 前記基板上の構造の表面上に前記材料層を選択的に形成することをさらに含む、請求項1に記載の方法。
  11. 基板上に材料層を形成するための方法であって:
    第1の元素を含む有機ケイ素化合物を含む第1のガス前駆体を、エッチング処理チャンバ内に配置された前記基板にパルス状にすることと;
    第2の元素を含む第2のガス前駆体を、前記エッチング処理チャンバ内に配置された前記基板にパルス状にすることと;
    前記エッチング処理チャンバ内の前記基板の表面上に、第1の元素及び第2の元素を含む材料層を形成することと
    を含む、方法。
  12. 前記基板の温度を摂氏110度未満に維持することをさらに含む、請求項11に記載の方法。
  13. 前記第1のガス前駆体が、RF源電力又はバイアス電力を前記エッチング処理チャンバに印加することなく、前記エッチング処理チャンバ中にパルス状にされる、請求項11に記載の方法。
  14. 前記第2のガス前駆体が、RFバイアス電力又はRF源電力を前記エッチング処理チャンバに印加する間、前記エッチング処理チャンバ中にパルス状にされる、請求項11に記載の方法。
  15. 基板上に材料層を形成するための方法であって:
    第1のガス前駆体及び第2のガス前駆体を、エッチング処理チャンバ内に配置された前記基板の表面に順次パルス状にすることであって、前記第1のガス前駆体は有機ケイ素化合物を含む、前記パルス状にすることと;
    前記基板の温度を摂氏110度未満に維持することと;
    前記基板の表面上に材料層を選択的に形成することと
    を含む、方法。
JP2021568761A 2019-05-23 2020-03-24 in-situ原子層堆積プロセス Pending JP2022533388A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962852023P 2019-05-23 2019-05-23
US62/852,023 2019-05-23
PCT/US2020/024472 WO2020236303A1 (en) 2019-05-23 2020-03-24 In-situ atomic layer deposition process

Publications (2)

Publication Number Publication Date
JP2022533388A true JP2022533388A (ja) 2022-07-22
JPWO2020236303A5 JPWO2020236303A5 (ja) 2023-04-05

Family

ID=73456146

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021568761A Pending JP2022533388A (ja) 2019-05-23 2020-03-24 in-situ原子層堆積プロセス

Country Status (6)

Country Link
US (1) US20200373149A1 (ja)
JP (1) JP2022533388A (ja)
KR (1) KR20210158862A (ja)
CN (1) CN113906539A (ja)
TW (1) TW202043532A (ja)
WO (1) WO2020236303A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
US11508572B2 (en) * 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11970769B2 (en) * 2021-06-24 2024-04-30 Asm Ip Holding B.V. Cyclical deposition methods

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US9362111B2 (en) * 2014-02-18 2016-06-07 Applied Materials, Inc. Hermetic CVD-cap with improved step coverage in high aspect ratio structures
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US10658174B2 (en) * 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
WO2020069206A1 (en) * 2018-09-28 2020-04-02 Lam Research Corporation Vacuum pump protection against deposition byproduct buildup

Also Published As

Publication number Publication date
WO2020236303A1 (en) 2020-11-26
TW202043532A (zh) 2020-12-01
US20200373149A1 (en) 2020-11-26
CN113906539A (zh) 2022-01-07
KR20210158862A (ko) 2021-12-31

Similar Documents

Publication Publication Date Title
JP7179172B2 (ja) 半導体用途の構造体をエッチングするための方法
US10727073B2 (en) Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
TWI773850B (zh) 用於形成鰭式場效電晶體的單電漿室中之原子層沉積及蝕刻
TW201622008A (zh) 用於自我對準接觸方案的金屬前犧牲介電質
JP2022533388A (ja) in-situ原子層堆積プロセス
JP7176106B2 (ja) 誘電体材料の堆積方法
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
WO2012018010A1 (ja) 基板処理方法および基板処理装置
JP2017152531A (ja) 基板処理方法
JP2024020242A (ja) メモリ用途のための垂直トランジスタの作製
JP2023106406A (ja) 3dnand応用のためのメモリセルの製造
US11521849B2 (en) In-situ deposition process
TW202226378A (zh) 選擇性各向異性金屬蝕刻
TWI610362B (zh) 表面介面工程方法
US20230072732A1 (en) Methods for etching structures with oxygen pulsing
US11562909B2 (en) Directional selective junction clean with field polymer protections
US11658042B2 (en) Methods for etching structures and smoothing sidewalls
US20230083577A1 (en) Recessed metal etching methods
CN108122985B (zh) 鳍型场效晶体管及其制造方法
JP2023552977A (ja) 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料
WO2024072572A1 (en) In-situ adsorbate formation for dielectric etch
TW202335084A (zh) 高度選擇性矽蝕刻
WO2024085970A1 (en) Method to selectively etch silicon nitride to silicon oxide using water crystallization

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230322

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240221