CN113906539A - 原位原子层沉积工艺 - Google Patents

原位原子层沉积工艺 Download PDF

Info

Publication number
CN113906539A
CN113906539A CN202080037396.0A CN202080037396A CN113906539A CN 113906539 A CN113906539 A CN 113906539A CN 202080037396 A CN202080037396 A CN 202080037396A CN 113906539 A CN113906539 A CN 113906539A
Authority
CN
China
Prior art keywords
substrate
precursor
gas
layer
gas precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080037396.0A
Other languages
English (en)
Inventor
S·W·朴
X·崔
S·斯里尼瓦杉
R·丁德萨
Z·姚
L·于
O·卢艾莱
J·S·金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113906539A publication Critical patent/CN113906539A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本公开的实施例提供了用于在图案化工艺之间、期间、之前或之后在基板上形成期望的材料层的方法和设备。在一个实施例中,一种用于在基板上形成材料层的方法包含以下步骤:使第一气体前驱物脉冲到基板的表面上,该第一气体前驱物包括有机硅化合物。该方法还包含以下步骤:将来自该第一气体前驱物的第一元素设置到该基板的该表面上。该方法进一步包含以下步骤:在设置该第一元素的同时,维持基板温度小于约110摄氏度。使第二气体前驱物脉冲到该基板的该表面上。此外,该方法包含以下步骤:将来自该第二气体前驱物的第二元素设置到该基板的该表面上的该第一元素。

Description

原位原子层沉积工艺
背景
技术领域
本公开的示例总体上涉及沉积工艺。具体地,本公开的实施例提供用于在蚀刻腔室中使用原位原子层沉积工艺在基板上形成材料层的方法。
相关技术的描述
在集成电路(IC)或芯片的制造中,由芯片设计者创建表示芯片的不同层的图案。从这些图案创建一系列可重复使用的掩模或光掩模,以便在制造工艺期间将每一芯片层的设计传送到半导体基板上。掩模图案生成系统使用精密激光或电子束将芯片每一层的设计成像到相应的掩模上。然后使用掩模,就像照相底片一样,将每一层的电路图案传送到半导体基板上。这些层是使用一系列工艺构建的,并转换成包含每一完整芯片的微型晶体管和电路。因此,掩模中的任何缺陷都可能传送到芯片,从而潜在地对性能产生不利影响。足够严重的缺陷可能会使掩模完全失效。通常,一组15到100个掩模被使用来构建芯片并且可重复使用。
随着临界尺寸(CD)的缩小,目前的光学光刻正在45纳米(nm)技术节点上接近技术极限。下一代光刻(NGL)有望取代传统的光学光刻方法,例如在20nm技术节点及以后的技术中。图案化的掩模的图像经由高精度光学系统投射到基板表面上,基板表面涂覆有一层光刻胶。然后,在复杂的化学反应和后续的制造步骤(例如显影、曝光后烘烤及湿或干式蚀刻)之后,在基板表面上形成图案。
多重图案化技术是为光刻而开发的技术以增强特征密度和准确性。该技术通常用于同一层中看起来不同或具有不可兼容的密度或间距的图案。此外,在每一图案化工艺之间,可形成、增加或补充额外的层或结构,以便能够进行下一图案化工艺。此外,随着特征尺寸变得更小,对更高的深宽比(定义为特征的深度与特征的宽度之间的比率)的需求稳定地增加到20:1,甚至更高。开发能够可靠地形成具有如此高的深宽比的特征或沉积材料层成为如此高的深宽比的特征的蚀刻工艺和沉积工艺提出了重大挑战。
因此,需要一种用于利用期望材料(针对具有高的深宽比或其他期望轮廓的特征)来执行图案化工艺以及沉积工艺的设备。
发明内容
本公开的实施例提供用于在基板上形成期望的材料层的方法及设备。在一个实施例中,一种用于在基板上形成材料层的方法包含以下步骤:使第一气体前驱物脉冲至基板的表面上,该第一气体前驱物包含有机硅化合物。该方法包含以下步骤:将来自该第一气体前驱物的第一元素设置在该基板的该表面上。该方法进一步包含以下步骤:在设置该第一元素的同时,维持基板温度小于约110摄氏度。此外,该方法包含以下步骤:使第二气体前驱物脉冲至该基板的该表面上。该方法包含以下步骤:将来自该第二气体前驱物的第二元素设置到该基板的该表面上的该第一元素。
在另一实施例中,一种用于在基板上形成材料层的方法包含以下步骤:使第一气体前驱物脉冲至设置于蚀刻处理腔室中的基板,该第一气体前驱物包含有机硅化合物,该有机硅化合物包含第一元素。该方法包含以下步骤:使第二气体前驱物脉冲至设置于该蚀刻处理腔室中的该基板,该第二气体前驱物包含第二元素。此外,该方法包含以下步骤:在该蚀刻处理腔室中的该基板的表面上形成材料层。该材料层包含该第一元素和该第二元素。
在又一实施例中,一种用于在基板上形成材料层的方法包含以下步骤:依序使第一及第二气体前驱物脉冲至设置于蚀刻处理腔室中的基板的表面。该第一气体前驱物包含有机硅化合物。基板温度被维持小于110摄氏度。该方法包含以下步骤:在该基板的该表面上选择性地形成材料层。
附图说明
为了获得且可详细理解本公开的上述特征的方式,可参考在附图中所图示的实施例来得到对以上简要概述的本公开的更具体的描述。
图1是根据本公开的一个或更多个实施例的经配置以执行图案化工艺的处理腔室的示意性截面图;
图2是根据本公开的一个或更多个实施例的用于执行沉积工艺的方法的流程图;及
图3A至3E图示了在图2的沉积工艺期间基板的截面图。
为了便于理解,尽可能地使用相同的附图标记来表示附图中共有的相同元素。可预期的是,一个实施例的元素和特征可被有益地并入其他实施例中,而无需进一步叙述。
然而,应注意,附图仅图示了本公开的示例性实施例,因此不应被认为是对其范围的限制,因为本公开可允许其他等效的实施例。
具体实施方式
提供了在具有期望的小尺寸的纳米结构之上或之中形成材料层的方法。方法在处理腔室(例如,蚀刻腔室)中在相对低的温度(例如,小于110摄氏度)下利用原子层沉积工艺。通过适当选择前驱物以及受控的工艺参数,可在基板上形成材料层或填满在基板上形成具有高的深宽比(例如,大于20:1)的特征。也可在小于110摄氏度的工艺温度下形成材料层,从而使得沉积工艺能够在具有在室温(例如小于110摄氏度)下操作的基板支撑组件的蚀刻工艺室中形成。
如本文所用,术语“基板”是指用作后续工艺操作的基底且包含要清洁的表面的材料层。例如,基板可包含一个或更多个材料,包含了含硅材料、含IV族或III-V族的化合物,例如Si、多晶硅、非晶硅、Ge、SiGe、GaAs、InP、InAs、GaAs、GaP、InGaAs、InGaAsP、GaSb、InSb等或其组合。此外,基板也可包含介电材料,例如二氧化硅、有机硅酸盐、和碳掺杂的氧化硅。基板也可包含一个或更多个导电金属,例如镍、钛、铂、钼、铼、锇、铬、铁、铝、铜、钨或其组合。此外,取决于应用,基板可包含任何其他材料,例如金属氮化物、金属氧化物和金属合金。在一个或更多个实施例中,基板可形成接触结构、金属硅化物层、或包含栅极介电层和栅极电极层的栅极结构,以便于与内部连接特征连接,例如插头、通孔、接触、和随后形成于上的线、或半导体器件中使用的合适结构。
此外,基板不限于任何特定的尺寸或形状。基板可以是具有200mm直径、300mm直径、450mm直径或其他直径的圆形晶片。基板也可以是任何多边形、正方形、矩形、弯曲或其他非圆形工件,例如用于制造平板显示器的多边形玻璃、塑料基板。
图1是示例性的等离子体处理腔室100的简化剖视图,适于图案化材料层以及形成设置于等离子体处理腔室100中的基板302上的材料层。示例性的等离子体处理腔室100适于执行沉积工艺。可适用以从本公开受益的等离子体处理腔室100的一个示例为可从位于加利福尼亚州圣克拉拉的应用材料公司获得的
Figure BDA0003363874800000041
Sym3TM蚀刻处理腔室。可想到,包含来自其他制造商的其他处理腔室可经适用以实现本公开的实施例。
等离子体处理腔室100包含腔室主体105,具有限定在腔室主体105中的腔室容积101。腔室主体105具有耦合至地126的侧壁112和底部118。侧壁112具有衬垫115以保护侧壁112并延长等离子体处理腔室100的维护周期之间的时间。腔室主体105的尺寸和等离子体处理腔室100的相关部件不受限制,且可成比例地大于要在其中处理的基板302的尺寸。基板尺寸的范例包含200mm直径、250mm直径、300mm直径、及450mm直径等。
腔室主体105支撑腔室盖组件110以封闭腔室容积101。腔室主体105可由铝或其他合适材料制成。穿过腔室主体105的侧壁112形成基板存取端口113,以便于基板302进出等离子体处理腔室100的传送。基板存取端口113可耦合到传送腔室和/或其他基板处理系统的腔室(未示出)。
穿过腔室主体105的侧壁112形成泵送端口145并连接到腔室容积101。泵送装置(未示出)经由泵送端口145耦合到腔室容积101以抽空和控制其中的压力。泵送装置可包含一个或更多个泵和节流阀。
气体面板160通过气体线167耦合到腔室主体105,以将工艺气体供应进入腔室容积101。气体面板160可包含一个或更多个工艺气体源161、162、163、164,且可视需求额外包含惰性气体、非反应性气体、和反应性气体。气体面板160可提供的工艺气体的示例包含但不限于:包括甲烷(CH4)的含烃气体、含硅气体(例如,六氟化硫(SF6))、氯化硅(SiCl4)、或有机含硅气体(例如双(二乙基氨基)硅烷(BDEAS)、三(二甲基氨基)硅烷(TDMAS)、双(叔丁基氨基)硅烷(BTBAS)等)、四氟化碳(CF4)、溴化氢(HBr)、含烃气体、氩气(Ar)、氯气(Cl2)、氮气(N2)、氦气(He)和氧气(O2)。另外,工艺气体可包含:含氮、氯、氟、氧、和氢的气体,例如BCl3、C2F4、C4F8、C4F6、CHF3、CH2F2、CH3F、NF3、NH3、CO2、SO2、CO、N2、NO2、N2O和H2等。
阀166控制来自气体面板160的源161、162、163、164的工艺气体的流量并由控制器165管理。从气体面板160供应到腔室主体105的气体的流量可包含气体的组合。
腔室盖组件110可包含喷嘴114。喷嘴114具有一个或更多个端口以用于将来自气体面板160的源161、162、164、163的工艺气体导入腔室容积101。将工艺气体导入等离子体处理腔室100之后,激发气体以形成等离子体。可相邻于等离子体处理腔室100提供天线148,例如一个或更多个电感器线圈。天线电源142可经由匹配电路141为天线148供电,以将能量(例如,RF能量)感应性地耦合到工艺气体,以维持在等离子体处理腔室100的腔室容积101中由工艺气体形成的等离子体。替代地,或者除了天线电源142之外,也可使用基板302下方和/或基板302上方的工艺电极以将RF功率电容性地耦合到工艺气体,以维持腔室容积101内的等离子体。可通过控制器(例如,控制器165)控制天线电源142的操作,该控制器也控制等离子体处理腔室100中的其他部件的操作。
基板支撑基座135设置在腔室容积101中,以在处理期间支撑基板302。基板支撑基座135可包含用于在工艺期间保持基板302的静电吸座(ESC)122。ESC 122使用静电吸力将基板302保持至基板支撑基座135。ESC 122由与匹配电路124整合的RF电源125供电。ESC122包含嵌入介电主体内的电极121。电极121耦合到RF电源125并提供偏压,该偏压将由腔室容积101中的工艺气体形成的等离子体离子吸引到位于其上的ESC 122和基板302。RF电源125可在基板302的工艺期间循环开启和关闭,或者以脉冲的形式。ESC 122具有隔离器128,目的在于使ESC 122的侧壁对等离子体的吸引力减小,从而延长ESC 122的维护寿命。另外,基板支撑基座135可具有阴极衬垫136,以保护基板支撑基座135的侧壁免受等离子体气体的影响,并延长维护等离子体处理腔室100之间的时间。
此外,电极121耦合至电源150。电源150向电极121提供约200伏至约2000伏的夹持电压。电源150也可包含用于通过将DC电流引导至电极121以夹持和去夹持基板302来控制电极121的操作的系统控制器。
ESC 122可包含设置在其中且连接到电源(未示出)的加热器以用于加热基板,同时,支撑ESC 122的冷却基底129可包含用于使传热流体循环的导管,以维持ESC 122和设置在其上的基板302的温度。ESC 122经配置以在由在基板302上制造的装置的热预算所期望的温度范围中执行。例如,ESC 122可经配置以针对某些实施例将基板302维持于约-25摄氏度至约150摄氏度的温度下。
提供冷却基底129以帮助控制基板302的温度。为了减轻工艺漂移和时间,在基板302位于清洁腔室中的整个时间内,冷却基底129可将基板302的温度维持基本上恒定。在一个实施例中,在随后的整个清洁工艺内,基板302的温度维持于约30至120摄氏度。
盖环130设置在ESC 122上并沿着基板支撑基座135的周边。盖环130经配置以将蚀刻气体限制在基板302的暴露顶部表面的所需部分,同时屏蔽基板支撑基座135的顶部表面免于等离子体处理腔室100内部的等离子体环境。将升降销(未示出)选择性地移动穿过基板支撑基座135,以将基板302升高到基板支撑基座135上方,以便于通过传送机械手(未示出)或其他合适的传送机制存取基板302。
控制器165可用于控制工艺序列,调节从气体面板160进入等离子体处理腔室100的气体流和其他工艺参数。当由CPU执行时,软件例程将CPU转换成控制等离子体处理腔室100的专用计算机(控制器),使得根据本公开执行工艺。软件例程也可由与等离子体处理腔室100并置的第二控制器(未示出)存储和/或执行。
图2是用于原位沉积工艺的方法200的一个示例的流程图,用于在蚀刻或图案化处理腔室中在基板上沉积材料层。材料层随后可用作掩模层、衬垫层、阻挡层、间隔层、填充层或钝化层,以进一步变更基板上的特征的尺寸或轮廓,以进一步将特征传送至设置在材料层下方的底层。图3A至3E是基板302的一部分的截面图,其上形成有结构304以对应于方法200的各个阶段。
方法200可用于以不同的材料需求将材料层沉积到在基板302上形成的结构304上,从而形成不同的结构。用于底层(未示出)的合适材料可包含层间介电层、接触介电层、栅极电极层、栅极介电层、STI绝缘层、金属间层(IML)或任何合适的层。结构304可以是例如晶体硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶片、及图案化或未图案化晶片绝缘体上硅(SOI)、碳掺杂的氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃或蓝宝石的材料。结构304可具有各种尺寸,例如200mm、300mm、450mm或其他直径,且可以是矩形或正方形面板。除非另有说明,本文描述的示例是在具有200mm直径、300mm直径或450mm直径的基板上进行的。
替代地,可视需要有益地利用方法200以在合适类型的结构上形成材料。
通过提供具有在其上形成结构304的基板302,方法200始于操作202,如图3A中所示出。将基板302放置在处理腔室中,例如图1中描绘的等离子体处理腔室100中,以执行沉积工艺。在一个示例中,等离子体处理腔室100为蚀刻腔室或图案化腔室以允许将基板302设置在其中以执行沉积工艺。结构304包含以彼此期望的距离形成的图案化特征。在一个实施例中,结构304可由用于在半导体器件中形成层的介电层或光刻胶层制成。介电层的合适示例包含含碳的氧化硅(SiOC)、聚合物材料,例如聚酰胺、SOG、USG、氧化硅、氮化硅、氮氧化硅、碳化硅、碳氧化硅等。
在图3A至3E中所描绘的示例中,结构304包含了含硅材料或介电层。用于含硅材料的合适示例包含晶体硅、氧化硅、应变硅、硅锗、锗、掺杂或未掺杂的多晶硅、及其他掺杂或未掺杂的含硅材料(视需求)。介电层的合适示例可以是氧化硅、氮化硅、氮氧化硅(SiON)、碳氧化硅(SiOC)或非晶碳材料(视需求)。
在操作204处,如图3B中所示出,将第一气体前驱物306供应进入等离子体处理腔室100而进入基板302的表面。在一个示例中,第一气体前驱物306包含第一元素,例如硅元素350,可对基板302以及结构304具有高吸收能力。例如,当基板302和/或结构304包含与第一气体前驱物306中的原子或元素相同或相似的原子或元素时,来自第一气体前驱物306的原子或元素可成功地附着、吸收或接合到来自基板302和/或来自结构304的原子或元素,以增强其间的接合和结合。例如,当基板302和/或结构304包含硅元素350时,来自所选择的第一气体前驱物306的第一元素也包含硅元素,使得来自第一气体前驱物306的硅元素可成功地附着、吸收或接合到来自基板302和/或结构304的硅元素。第一气体前驱物306的合适示例为含硅气体,例如有机硅化合物。期望将有机硅化合物在室温下维持于液态,例如-10摄氏度及约50摄氏度之间。此外,当置于室温环境下时,有机硅化合物也维持于相对稳定的状态。在一个示例中,有机硅化合物包含氨基硅烷前驱物。来自氨基硅烷前驱物的氨基配体经配置以易于从硅解离,然后硅的悬空键可与表面形成化学吸附。同时,其他配体阻止了与其他前驱物的进一步反应,因此可达成自限特性。
有机硅化合物的合适示例包含:双(二乙基氨基)硅烷(BDEAS)、三(二甲基氨基)硅烷(TDMAS)、双(叔丁基氨基)硅烷(BTBAS)和三甲硅烷基胺(TSA)。在一个具体示例中,选择用于第一气体前驱物306的有机硅化合物为双(二乙基氨基)硅烷(BDEAS)或双(叔丁基氨基)硅烷(BTBAS)。
硅元素350用作来自第一气体前驱物306的第一元素,以被吸收到基板302和/或结构304的表面上。
使第一气体前驱物306脉冲到等离子体处理腔室100中以执行原子层沉积(ALD)工艺。例如,ALD工艺的每一脉冲使得能够生长和沉积材料层的单层。原子层沉积(ALD)工艺是具有自终止/限制生长的化学气相沉积(CVD)工艺。ALD工艺产生的厚度仅为几埃或单层。通过将化学反应分配成为两个独立的半反应来控制ALD工艺,所述两个半反应以循环重复,这包含于本文所述的方法200中的操作204和208中。通过ALD工艺形成的材料层的厚度取决于反应循环的数量。第一气体前驱物306的脉冲持续预定的时间间隔。如本文所用,术语脉冲是指注射进入处理腔室的材料的剂量。
在操作204处,来自第一气体前驱物306的第一反应提供了分子层的在基板上被吸收的第一原子层(例如,源自第一气体前驱物的第一元素),且来自第二气体前驱物的第二元素的第二反应(将稍后在操作208处描述)提供了分子层的在第一原子层上被吸收的第二原子层。在图3B中所描绘的示例中,第一气体前驱物306(例如,双(二乙基氨基)硅烷(BDEAS)前驱物)包含多种元素,例如硅和氢,以及配体,例如N-(C2H5)2配体。作为一个示例,下面请找到用于第一气体前驱物306的双(二乙基氨基)硅烷(BDEAS)前驱物的化学结构。
Figure BDA0003363874800000091
当将第一气体前驱物306供应至基板时,硅元素350倾向于被吸收并附着到结构304的顶部表面和侧壁以及基板302的上表面308上(也具有硅元素)。然后,其他元素(例如氢元素305和配体307(例如,N-(C2H5)2配体),不与基板302和/或结构304共享相同的元素)相邻于与结构304悬空,对结构304和/或基板302具有松散键或无键,如图3B中所示出。因此,也可通过在基板的某表面上形成第一单层来获得选择性沉积工艺,以提供与来自第一气体前驱物306的第一元素相似或相同的元素。
在发出第一气体前驱物306的脉冲期间,还调节了若干工艺参数。在一个实施例中,将工艺压力控制在约1mTorr与约100mTorr之间。工艺温度维持于小于约110摄氏度,例如在约-10摄氏度和约110摄氏度之间,例如在约20摄氏度和约90摄氏度之间。在供应第一气体前驱物306时,可视需要消除RF功率,例如RF偏压功率或RF源功率。相信的是,无等离子体环境可允许元素轻轻地和缓慢地落在基板表面上,从而增强基板表面上材料层的保形沉积。在一些实施例中,可视需要替代地或同时地施加RF源或偏压功率以产生等离子体,同时视需要供应第一气体前驱物306。可以约5sccm与约150sccm之间的压力供应第一气体前驱物306。第一前驱物气体的每一脉冲可沉积材料层360的第一单层(如图3E中所示出),具有在约
Figure BDA0003363874800000092
与约
Figure BDA0003363874800000093
之间的厚度。
在操作206处,接着将冲洗气体供应到等离子体处理腔室100以冲洗出未接合到基板302和/或结构304的原子和/或元素(例如,氢元素305和配体307(例如,N-(C2H5)2配体)),如图3C中所示出。冲洗气体的合适示例包含惰性气体,例如Ar或He,含氮气体或其他合适的气体。
在发出冲洗气体混合物的脉冲期间,还调节了若干工艺参数。在一个实施例中,工艺压力被控制在约1mTorr与约100mTorr之间。工艺温度维持于小于约110摄氏度,例如在约-10摄氏度及约110摄氏度之间,例如在约20摄氏度及约100摄氏度之间。可将RF源功率控制在约100瓦与约1200瓦之间,例如在约500瓦与约1000瓦之间。可将RF偏压功率控制在约10瓦与约200瓦之间,例如在约50瓦与约100瓦之间。可以约5sccm与约150sccm之间的压力供应冲洗气体。
在操作208处,将第二气体前驱物310供应进入等离子体处理腔室100而进入基板302的表面,如图3D中所示出。在一个示例中,第二气体前驱物310包含第二元素,该第二元素可与由第一气体前驱物306提供的基板302和/或结构304上的第一元素(例如硅元素350)反应。所脉冲的第二元素与第一元素(例如硅元素350)在基板302和/或结构304的表面313、314及侧壁312上反应并与之键结。在图3D中所描绘的示例中,第二气体前驱物310包含了含氧或氮的气体,提供了氧或氮元素311。应注意,也可视需要使用其他合适的能够提供元素或原子以与来自第一气体前驱物的元素反应的第二气体前驱物310。氧或氮元素311与硅元素350反应。然后,氧或氮元素311被基板302和/或结构304上的硅元素350吸收,从而在基板302和/或结构304的表面和侧壁上形成材料层360(如图3E中所示出)。在第二元素是氧元素311的示例中,在基板302上形成的材料层360是氧化硅层。在第二元素是氮元素311的另一示例中,在基板302上形成的材料层360是氮化硅层。
含氧气体的合适示例包含O2、CO2、H2O等。含氮气体的合适示例包含N2、NO2、N2O、NH3等。在一个示例中,含氧气体为O2,而含氮气体为NH3或N2
基于不同的工艺需求,可在操作208处以不同方式控制工艺参数。在期望跨基板302和/或结构304保形地形成材料层360的示例中,如图3D和图3E中所示出,可施加适当范围的RF偏压功率和/或源功率以激发元素以及提供元素或原子朝向基板302和/或结构304的表面和侧壁的方向性。在来自RF偏压功率和/或RF源功率的辅助下,来自第二气体前驱物310的元素或原子可停留在结构304的顶部表面上且加速朝向结构304的侧壁和基板302的上表面308。
在发出第二气体前驱物310的脉冲期间,还调节了若干工艺参数。在一个实施例中,将工艺压力控制在约1mTorr与约100mTorr之间。工艺温度维持在小于约110摄氏度,例如在约-10摄氏度与约110摄氏度之间,例如在约20摄氏度与约100摄氏度之间。可将RF源功率控制在约100瓦与约2500瓦之间,例如约500瓦与约1000瓦。可在供应第二气体前驱物的同时可选地供应RF偏压功率。相信所施加的RF源和偏压功率可帮助在激发/激活状态中从基板302激发氧或氮元素311以及硅元素350,从而增强氧或氮元素311对硅元素350的吸收。第二前驱物气体的每一脉冲可沉积材料层360的第一单层,具有约
Figure BDA0003363874800000111
与约
Figure BDA0003363874800000112
之间的厚度。
在操作210处,接着将冲洗气体供应到等离子体处理腔室100以冲洗出未接合至基板302和/或结构304的原子和/或元素,如图3E中所示出,类似于在操作206处供应的冲洗气体。冲洗气体的合适示例包含惰性气体,例如Ar或He、含氮气体、或其他合适的气体。
在发出冲洗气体混合物的脉冲期间,还调节了若干工艺参数。在一个实施例中,工艺压力被控制在约1mTorr与约100mTorr之间。工艺温度维持在小于约110摄氏度,例如在约-10摄氏度与约120度摄氏之间,例如在约20摄氏度与约100摄氏度之间。可将RF源功率控制在约100瓦与约2500瓦之间,例如在约500瓦与约1000瓦之间。可将RF偏压功率控制在约10瓦与约500瓦之间,例如在约50瓦与约100瓦之间。可以约5sccm与约150sccm之间的压力供应冲洗气体。
这样,接着在结构化的材料层360上的基板302的所需位置处形成由来自操作204和208的第一元素和第二元素组成的单层的有序结构。在操作204处,通过化学反应,来自第一气体前驱物306的第一单层被吸收到基板302和结构304的期望位置上,该化学反应允许来自第一单层的原子牢固地附着在基板302和结构304的原子上。接着,在操作208处来自第二气体前驱物310的随后形成的第二单层被选择性地形成在基板302和结构304的期望位置处,从而使得能够在低温下(例如小于110摄氏度)在处理腔室(例如蚀刻腔室)中沉积ALD工艺。
在操作204和208处的第一气体前驱物306或第二气体前驱物310的每一脉冲之间,可使操作206处的冲洗气体脉冲在第一和/或第二气体前驱物306、310的每个或多个脉冲之间脉冲到处理腔室中,以移除未被基板表面反应/吸收的杂质或残留的前驱物气体混合物(例如,来自反应气体混合物或其他的未反应的杂质),以便将它们泵送离开处理腔室。
在第二气体前驱物310为含氧气体的示例中,所得的材料层360为氧化硅层。在第二气体前驱物310是含氮气体的示例中,所得的材料层360为氮化硅层。
注意始于操作204处第一气体前驱物306、操作206处的冲洗气体供应、和操作208处的第二气体前驱物310的脉冲的额外循环可接着被重复执行,直到获得材料层360的期望厚度。当发出第一气体前驱物306的脉冲的随后的周期开始时,可将工艺压力和其他工艺参数调节到预定水平,以帮助沉积材料层360的后续单层。
因此,提供了用于在基板的结构上形成材料层的沉积方法。沉积方法利用在小于110摄氏度的温度下执行的类似ALD的沉积工艺以在蚀刻处理腔室中形成材料层,使得可在材料层的沉积工艺之后视需要立即进行蚀刻工艺。此外,低温沉积工艺也使得能够在具有合适特征的任何基板中形成材料层,例如大于20:1的高的深宽比,这需要缓慢且保形的沉积轮廓。因此,可改善并良好地管理工艺循环时间和制造产量。
尽管前述内容针对本公开的实施例,在不背离本公开的基本范围的情况下,可设计本公开的其他和进一步的实施例,且其范围由所附的权利要求来确定。

Claims (15)

1.一种用于在基板上形成材料层的方法,包括以下步骤:
使第一气体前驱物脉冲到基板的表面上,所述第一气体前驱物包括有机硅化合物;
将来自所述第一气体前驱物的第一元素设置在所述基板的所述表面上;
在设置所述第一元素的同时,维持基板温度小于约110摄氏度;
使第二气体前驱物脉冲到所述基板的所述表面上;以及
将来自所述第二气体前驱物的第二元素设置到所述基板的所述表面上的所述第一元素。
2.如权利要求1所述的方法,其中使所述第一气体前驱物脉冲的步骤进一步包括以下步骤:
在不从所述第一气体前驱物产生等离子体的情况下使所述第一气体前驱物脉冲,其中所述第一气体前驱物被脉冲到设置于蚀刻处理腔室中的所述基板的所述表面上。
3.如权利要求1所述的方法,其中在不施加RF源功率或偏压功率的情况下,所述第一气体前驱物被脉冲到所述基板的所述表面,其中在使所述第一气体前驱物脉冲的同时,所述基板温度被维持在约-20摄氏度与约50摄氏度之间。
4.如权利要求1所述的方法,其中所述有机硅化合物包括氨基硅烷,其中所述有机硅化合物为以下中的至少一者:双(二乙基氨基)硅烷(BDEAS)或三(二甲基氨基)硅烷(TDMAS)、双(叔丁基氨基)硅烷(BTBAS)。
5.如权利要求1所述的方法,其中使所述第二气体前驱物脉冲的步骤进一步包括以下步骤:
在使所述第二气体前驱物脉冲的同时,施加RF源功率及RF偏压功率。
6.如权利要求1所述的方法,其中在所述第一气体前驱物与所述第二气体前驱物的脉冲之间供应冲洗气体。
7.如权利要求1所述的方法,其中所述第二气体前驱物包括含氮或氧气体。
8.如权利要求7所述的方法,其中所述含氮或氧气体为N2O2
9.如权利要求1所述的方法,进一步包括以下步骤:
在设置于所述基板上的特征的表面上保形地形成材料层,其中所述特征具有大于20:1的深宽比,其中所述材料层由氧化硅或氮化硅形成。
10.如权利要求1所述的方法,进一步包括以下步骤:
在基板上的结构的表面上选择性地形成材料层。
11.一种用于在基板上形成材料层的方法,包括以下步骤:
使第一气体前驱物脉冲到设置于蚀刻处理腔室中的基板,所述第一气体前驱物包括有机硅化合物,所述有机硅化合物包括第一元素;
使第二气体前驱物脉冲到设置于所述蚀刻处理腔室中的所述基板,所述第二气体前驱物包括第二元素;以及
在所述蚀刻处理腔室中的所述基板的表面上形成材料层,其中所述材料层包括所述第一元素和所述第二元素。
12.如权利要求11所述的方法,进一步包括以下步骤:
维持小于110摄氏度的基板温度。
13.如权利要求11所述的方法,其中在不施加RF源功率或偏压功率至所述蚀刻处理腔室的情况下,所述第一气体前驱物被脉冲到所述蚀刻处理腔室中。
14.如权利要求11所述的方法,其中在施加RF偏压功率或RF源功率至所述蚀刻处理腔室的同时,所述第二气体前驱物被脉冲到所述蚀刻处理腔室中。
15.一种用于在基板上形成材料层的方法,包括以下步骤:
依序使第一气体前驱物和第二气体前驱物脉冲到设置于蚀刻处理腔室中的基板的表面,其中所述第一气体前驱物包括有机硅化合物;
维持小于110摄氏度的基板温度;以及
在所述基板的所述表面上选择性地形成材料层。
CN202080037396.0A 2019-05-23 2020-03-24 原位原子层沉积工艺 Pending CN113906539A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962852023P 2019-05-23 2019-05-23
US62/852,023 2019-05-23
PCT/US2020/024472 WO2020236303A1 (en) 2019-05-23 2020-03-24 In-situ atomic layer deposition process

Publications (1)

Publication Number Publication Date
CN113906539A true CN113906539A (zh) 2022-01-07

Family

ID=73456146

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080037396.0A Pending CN113906539A (zh) 2019-05-23 2020-03-24 原位原子层沉积工艺

Country Status (6)

Country Link
US (1) US20200373149A1 (zh)
JP (1) JP2022533388A (zh)
KR (1) KR20210158862A (zh)
CN (1) CN113906539A (zh)
TW (1) TW202043532A (zh)
WO (1) WO2020236303A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
US11508572B2 (en) * 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11970769B2 (en) * 2021-06-24 2024-04-30 Asm Ip Holding B.V. Cyclical deposition methods

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
WO2015126590A1 (en) * 2014-02-18 2015-08-27 Applied Materials, Inc. Hermetic cvd-cap with improved step coverage in high aspect ratio structures
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US10658174B2 (en) * 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
KR20210053351A (ko) * 2018-09-28 2021-05-11 램 리써치 코포레이션 증착 부산물 빌드업 (buildup) 으로부터 진공 펌프 보호

Also Published As

Publication number Publication date
KR20210158862A (ko) 2021-12-31
WO2020236303A1 (en) 2020-11-26
JP2022533388A (ja) 2022-07-22
TW202043532A (zh) 2020-12-01
US20200373149A1 (en) 2020-11-26

Similar Documents

Publication Publication Date Title
US11322351B2 (en) Tin oxide films in semiconductor device manufacturing
US10727073B2 (en) Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
JP5771339B2 (ja) 原子層堆積リソグラフィ
KR20200035247A (ko) 에어 갭들을 생성하는 방법
JP2017022368A (ja) GaN及びその他のIII−V材料の原子層エッチング
TWI773850B (zh) 用於形成鰭式場效電晶體的單電漿室中之原子層沉積及蝕刻
KR102643106B1 (ko) 교번하는 에칭 및 패시베이션 프로세스
US20200373149A1 (en) In-situ atomic layer deposition process
US11521849B2 (en) In-situ deposition process
CN112567498A (zh) 使用自组装单层的选择性沉积的方法
TWI610362B (zh) 表面介面工程方法
US20110303639A1 (en) Methods for processing substrates having metal hard masks
US20230072732A1 (en) Methods for etching structures with oxygen pulsing
US11658042B2 (en) Methods for etching structures and smoothing sidewalls
TWI838003B (zh) 半導體裝置製造中之氧化錫膜
CN108122985B (zh) 鳍型场效晶体管及其制造方法
WO2024072572A1 (en) In-situ adsorbate formation for dielectric etch

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination