JP2023106406A - 3dnand応用のためのメモリセルの製造 - Google Patents

3dnand応用のためのメモリセルの製造 Download PDF

Info

Publication number
JP2023106406A
JP2023106406A JP2023072540A JP2023072540A JP2023106406A JP 2023106406 A JP2023106406 A JP 2023106406A JP 2023072540 A JP2023072540 A JP 2023072540A JP 2023072540 A JP2023072540 A JP 2023072540A JP 2023106406 A JP2023106406 A JP 2023106406A
Authority
JP
Japan
Prior art keywords
layer
substrate
film stack
opening
memory cell
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023072540A
Other languages
English (en)
Inventor
チャンソク カン,
Chang Seok Kang
知彦 北島
Tomohiko Kitajima
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023106406A publication Critical patent/JP2023106406A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Abstract

【課題】三次元(3D)積層されたメモリセル半導体デバイスを製造するために正確なプロファイル及び寸法制御を伴って階段状構造物を形成する装置及び方法を提供する。【解決手段】メモリセルデバイスは、基材上に水平に形成された、交互になった金属誘電体層902と導電性構造物912との複数の対を備える、膜積層体の酸化ケイ素層の第2層604bと、膜積層体内に形成され、中心充填層906が開口内に形成され開口と、を含み、開口は、金属誘電体層902、多層構造物904及び中心充填層906で充填され、開口内の金属誘電体層902は、導電性構造物912と界面接続される。【選択図】図14C

Description

技術分野
本開示の実施形態は、概して垂直型メモリセル半導体デバイスを製造する方法に関し、より詳細には、半導体製造応用のための階段状構造物を有する垂直型メモリセル半導体デバイスを製造する方法に関する。
関連技術の説明
ハーフミクロンに満たないフィーチャ(特徴部)を高い信頼性を伴って製造することは、半導体デバイスの次世代型の超大規模集積(VLSI)及び極大規模集積(ULSI)のための鍵となる技術課題の1つである。しかし、回路技術の限界が拡張されるにつれて、寸法が縮小しつつあるVLSI及びULSIを相互接続する技術により、処理能力に対して更なる要求が突きつけられてきた。高い信頼性を伴って基板上にゲート構造物を形成することは、VLSI及びULSIの成功にとって、かつ個々の基板及びダイの回路密度と品質を高めるための継続的な取り組みにとって、重要なことである。
一般的に、エッチングプロセスによって基板上に構造物(例えばゲート構造物、シャロートレンチアイソレーション(STI)、バイトライン(bite line)など)を形成する際には、パターニングされたマスク(フォトレジスト層など)が使用される。パターニングされたマスクは、従来的には、リソグラフィプロセスを使用して、望ましい限界寸法を有するパターンをフォトレジストの層に光学転写することによって製造される。フォトレジスト層は次いで現像されて、フォトレジストの不要部分が除去される。これによって、残存フォトレジストに開口が作り出される。
次世代型のデバイス及び構造物の製造を可能にするためには、多くの場合、トランジスタの性能を改善するために、半導体メモリチップの三次元(3D)積層が利用される。
トランジスタを従来的な二次元の代わりに三次元に配置することにより、複数のトランジスタが、互いに非常に近接して、集積回路(IC)内に配置されうる。半導体チップの三次元(3D)積層により、ワイヤ長が低減され、配線遅延が低く保たれる。半導体チップの三次元(3D)積層の製造においては、階段状構造物が利用されることが多い。これにより、多重相互接続構造物が階段状構造物上に配置され、高密度の垂直トランジスタデバイスを形成することが可能になる。
基板上に配置された膜積層体に階段状構造物を形成する場合、順次トリミングされるフォトレジスト層をエッチングマスクとして用いて膜積層体をエッチングするために、フォトレジストトリミングプロセスを伴うエッチングプロセスが反復的に実施される。図1Aに示している例示的な実施形態では、トリミングされたフォトレジスト層(図示せず)が、半導体デバイス100を形成するために、基板104上に配置された膜積層体120上に構造物を転写して、基板104上に階段状構造物110を形成するための、エッチングマスク層としての役割を果たしうる。膜積層体120は、典型的には、図1Bに示しているように、導電層と絶縁層のいずれかである層120a、120b(120a、120b、120a、120b、……、120a、120bと図示している)が交互になった層を含む。エッチング中、フォトレジスト層は、エッチングマスクとして機能しつつ種々の寸法に順次トリミングされて、種々の幅を有する階段状構造物110を形成する。
図1A及び図1Bに示しているように、基板104上への階段状構造物110の製造中に、階段状構造物110中に形成される各段は、そこにチャネル125(例えば開口)が形成されることを可能にするための、意図された幅を有する。より高いデバイス性能が求められる一部の実施形態では、交互になった層120a、120bに異なる材料が利用されうる。
例えば、より高い電気移動度というデバイス性能が求められる場合には、階段状構造物110に金属導電性材料が利用されることが多い。一例では、デバイス100の電気的性能を向上させるために、交互になった層120a、120bの第2層120b(図1Bでは120b,……,120bと示している)が、階段状構造物110から除去され、図1Cに示しているように金属含有層150に置き換えられうる。しかし、階段状構造物110から元々の第2層120b(図1Bでは120b,……,120bと示している)を除去して、図1Cに示しているように金属含有層150に置き換える又は金属含有層150を挿入する時に、金属含有層150との間の界面130には、この界面において選択的なエッチングのせいで、残留物及び/又は表面粗さ152が見出されることが多い。これにより、界面130における電気的接触が不十分になり、最終的には、デバイス障害又は電気的性能の劣化が引き起こされる。
ゆえに、半導体デバイスを三次元(3D)積層するために正確なプロファイル及び寸法制御を伴って階段状構造物を形成するための、改良型の方法及び装置が必要とされている。
本開示の実施形態は、三次元(3D)積層されたメモリセル半導体デバイスを製造するために正確なプロファイル及び寸法制御を伴って階段状構造物を形成するための、装置及び方法を提供する。一実施形態では、メモリセルデバイスは、基板上に水平方向に形成された、交互になった誘電体層と導電性構造物との複数の対を備える膜積層体と、膜積層体内に形成された開口と、を含み、開口は、金属誘電体層、多層構造物、及び中心充填層で充填され、
開口内の金属誘電体層は、導電性構造物と界面接続される。
別の実施形態では、基板上のメモリデバイスの形成方法は、第1層と第2層とを含む膜積層体内に開口を形成することと、第1層を選択的に酸化させて、第1層の側壁に酸化層を形成することと、金属誘電体層を含む一又は複数の層で、開口を充填することと、膜積層体から第1層を選択的に除去して、酸化層を露出させることと、膜積層体から酸化層を選択的に除去して、膜積層体内にスペースを画定することと、導電性構造物でスペースを充填することと、を含む。
更に別の実施形態では、基板上に階段状構造物を形成する方法は、第1層と第2層とを備える膜積層体中の第1層を選択的に酸化させることと、酸化層と接触するように金属誘電体層を形成することと、第1層を選択的に除去して、酸化層を露出させることと、膜積層体から酸化層を除去することと、金属誘電体層と接触するように導電性構造物を形成することと、を含む。
上述した本開示の特徴を詳しく理解しうるように、上記で簡単に要約している本開示のより詳細な説明が、実施形態を参照することによって得られ、実施形態の一部は付随する図面に示されている。しかし、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面はこの開示の典型的な実施形態のみを示しており、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
基板上に形成された従来型の階段状構造物の概略断面図を示す。 図1Aの基板上に形成された従来型の階段状構造物の概略部分断面図を示す。 本開示の一実施形態による、基板上の階段状構造物内に金属含有層を形成するために利用される装置を示す。 本開示の一実施形態による、基板上に階段状構造物を形成するために利用される装置を示す。 図2及び図3の装置を含むクラスタ処理システムの概略図を示す。 本開示の一実施形態による、基板上に形成されたメモリセル構造物のための方法のフロー図を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。 図5に示している実施形態による、基板上に形成されたメモリセル構造物を製造するためのシーケンスの一部を示す。
理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に援用されうると、想定されている。
しかし、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面はこの開示の例示的な実施形態のみを示しており、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
本開示は、半導体デバイスの三次元(3D)メモリセルのために基板上の階段状構造物を形成するための、方法を提供する。一例では、選択的堆積と選択的パターニング/エッチングのプロセスと共に、界面における保護酸化層(例えば犠牲酸化層)を利用することによって、良好な界面管理、優れた電気的性能、及び製造中の良好なプロセス制御を得ることができる。一例では、三次元(3D)積層された半導体デバイスのためのメモリセルを形成する方法は、階段状構造物を形成するために膜積層体からある種の材料を除去する時に、保護/犠牲酸化層を利用しうる。ゆえに、界面は、除去プロセス中に保護されて無傷のままであることが可能であり、ひいては、界面プロファイル及びトポグラフィ(topography)の良好な制御が提供されうる。その結果として、その後導電性構造物が内部に形成されると、界面における良好な電気的接触が得られ、ゆえに、メモリセルに望ましい電気的性能が提供されうる。
図2は、半導体デバイス製造のための半導体相互接続構造物として利用されうる、プラズマプロセス(プラズマCVD又は有機金属CVDなど)を実施するのに適したプラズマ処理チャンバ232の断面図である。処理システム232は、カリフォルニア州Santa Claraの Applied Materials, Inc.から入手可能な、好適に適合したCENTURA(登録商標)、Producer(登録商標)SE若しくはProducer(登録商標)GT、又はProducer(登録商標)XPという処理システムでありうる。他の製造業者によって製造されたものを含む他の処理システムも本書に記載の実施形態から恩恵を受けうると、想定されている。
処理チャンバ232はチャンバ本体251を含む。チャンバ本体251は、内部空間226を画定するリッド225、側壁201、及び底壁222を含む。
基板支持ペデスタル250が、チャンバ本体251の内部空間126に設けられる。ペデスタル250は、アルミニウム、セラミック、窒化アルミニウム、及び他の好適な材料から製造されうる。一実施形態では、ペデスタル250は、セラミック材料(窒化アルミニウムなど)であって、ペデスタル250に熱的損傷を引き起こすことのない、高温環境(プラズマプロセス環境など)での使用に適した材料である、セラミック材料によって製造される。ペデスタル250は、リフト機構(図示せず)を使用して、チャンバ本体251の内部で垂直方向に動かされうる。
ペデスタル250は、ペデスタル250上に支持される基板290の温度を制御するのに適した、埋め込み型ヒータ素子270を含みうる。一実施形態では、ペデスタル250は、電力供給源206からヒータ素子270に電流を印加することによって抵抗加熱されうる。一実施形態では、ヒータ素子270は、ニッケル-鉄-クロム合金(INCOLOY(登録商標)など)のシースチューブ内に封入されたニッケル-クロムワイヤで作製されうる。電力供給源206から供給される電流は、ヒータ素子270によって生成される熱を制御し、ゆえに、基板290及びペデスタル250を、膜堆積中に、任意の好適な温度範囲において実質的に一定の温度に維持するよう、コントローラ210によって調節される。別の実施形態では、ペデスタルは、必要に応じて室温に維持されることもある。更に別の実施形態では、ペデスタル250は、必要に応じて室温よりも低い範囲でペデスタル250を冷却するために、必要に応じて冷却装置(図示せず)も含みうる。供給される電流は、ペデスタル250の温度を摂氏約100度と摂氏約700度との間で選択的に制御するよう調整されうる。
温度センサ272(熱電対など)が、ペデスタル250の温度を従来的な様態でモニタするために、基板支持ペデスタル250に埋め込まれうる。測定された温度は、ヒータ素子270に供給される電力を制御して基板を望ましい温度に維持するために、コントローラ210によって使用される。
ペデスタル250は一般に、ペデスタル250を通るように配置された複数のリフトピン(図示せず)であって、ペデスタル250から基板290を上昇させ、従来的な様態でのロボット(図示せず)による基板290の交換を容易にするよう構成されている、複数のリフトピンを含む。
ペデスタル250は、ペデスタル250上に基板290を保持するための、少なくとも1つの電極292を備える。電極292は、従来的に既知であるように、チャック電源208によって駆動されて、基板290をペデスタルの表面に保持する静電力を発生させる。あるいは、基板290は、クランプ、真空、又は重力によって、ペデスタル250に保持されうる。
一実施形態では、ペデスタル250は、少なくとも1つのRFバイアス電源(図2では2つのRFバイアス電源284、286として示されている)に連結された電極292が埋め込まれている、カソードとして構成される。図2に示している例は2つのRFバイアス電源284、286を示しているが、RFバイアス電源の数は、必要に応じて任意の数でありうることが認識されている。RFバイアス電源284、286は、ペデスタル250内に配置された電極292と別の電極(例えば、処理チャンバ232のガス分配プレート242又はリッド225)との間に連結される。RFバイアス電源284、286は、処理チャンバ232の処理領域内に配置されるガスから形成される、プラズマ放電を励起し、保持する。
図2に示している実施形態では、デュアルRFバイアス電源284、286が、整合回路204を通じて、ペデスタル250内に配置された電極292に連結されている。プラズマ処理チャンバ232内に提供された混合ガスをイオン化し、それによって堆積又は他のプラズマプロセスを実施するために必要なイオンエネルギーを提供するために、RFバイアス電源284、286によって生成された信号が、単一のフィードにより、整合回路204を通じてペデスタル250に供給される。RFバイアス電源284、286は一般に、約50kHzから約200MHzの周波数、及び約0ワットと約5000ワットとの間の電力を有するRF信号を生成することが可能である。
真空ポンプ202は、チャンバ本体251の底部222に形成されたポートに連結される。真空ポンプ202は、チャンバ本体251内の望ましいガス圧を維持するために使用される。真空ポンプ202は、後処理ガス及びプロセスの副生成物のチャンバ本体251からの排出も行う。
処理チャンバ232は、処理チャンバ232のリッド225を通って連結された、一又は複数のガス供給通路244を含む。ガス供給通路244と真空ポンプ202は、内部空間226内に層流を引き起こして微粒子汚染を最小化するために、処理チャンバ232の両端に配置される。
ガス供給通路244は、内部空間226内に混合ガスを提供するために、遠隔プラズマ源(RPS)248を通じてガスパネル293に連結される。一実施形態では、ガス供給通路244を通じて供給される混合ガスは、ガス供給通路244の下方に配置されたガス分配プレート242を通って更に供給されうる。一例では、複数の開孔243を有するガス分配プレート242が、ペデスタル250の上方のチャンバ本体251のリッド225に連結される。ガス分配プレート242の開孔243は、プロセスガスをガスパネル293からチャンバ本体251内に導入するために利用される。開孔243は、異なるプロセス要件のための様々なプロセスガスの流れを容易にするために、異なるサイズ、数、分布、形状、設計、及び直径を有しうる。プロセスガスの熱分解を促進することで、基板290の表面291上に材料を堆積させるために、ガス分配プレート242を出るプロセス混合ガスからプラズマが形成される。
ガス分配プレート242及び基板支持ペデスタル250は、内部空間226に一対の離間した電極を形成しうる。一又は複数のRF源247は、ガス分配プレート242とペデスタル250との間でのプラズマ発生を容易にするために、整合ネットワーク245を通じて、ガス分配プレート242にバイアス電位を提供する。あるいは、RF源247及び整合ネットワーク245は、ガス分配プレート242、基板支持ペデスタル250に連結されうるか、又はガス分配プレート242と基板支持ペデスタル250の両方に連結されうるか、又はチャンバ本体251の外部に配置されたアンテナ(図示せず)に連結されうる。一実施形態では、RF源247は、約30kHzから約13.6MHzの周波数の、約10ワットと約3000ワットとの間の電力を提供しうる。あるいは、RF源247は、内部空間226内でのプラズマの生成を支援するマイクロ波電力をガス分配プレート242に提供する、マイクロ波発振器でありうる。
ガスパネル293から供給されうるガスの例は、ケイ素含有ガス、フッ素含有ガス、酸素含有ガス、水素含有ガス、不活性ガス、及びキャリアガスを含みうる。反応性ガスの好適な例は、SiH、Si、SiF、SiHCl、Si10、Si12、TEOSなどのケイ素含有ガスを含む。好適なキャリアガスは、窒素(N)、アルゴン(Ar)、水素(H)、アルカン、アルケン、ヘリウム(He)、酸素(O)、オゾン(O)、水蒸気(HO)などを含む。
一実施形態では、遠隔プラズマ源(RPS)248は、ガスパネル293から内部空間226内に供給されるガスからのプラズマの形成を支援するために、代替的にガス供給通路244に連結されうる。遠隔プラズマ源248は、ガスパネル293によって提供されたガス混合物から形成されたプラズマを、処理チャンバ232に提供する。
コントローラ210は、プロセスシーケンスを制御し、ガスパネル293からのガス流を調節するために利用される、中央処理装置(CPU)212と、メモリ216と、サポート回路214とを含む。CPU212は、産業用設定で使用されうる任意の形態の汎用コンピュータプロセッサのものでありうる。ソフトウェアルーチンが、メモリ216(ランダムアクセスメモリ、読出専用メモリ、フロッピー、若しくはハードディスクドライブ、又はその他の形態のデジタルストレージなど)に記憶されうる。サポート回路214は、従来的に、CPU212に連結され、キャッシュ、クロック回路、入/出力システム、電力供給源などを含みうる。多数の信号ケーブル(信号バス218と総称され、その一部を図2に例示している)を通じて、コントローラ210と処理チャンバ232の様々な構成要素との間の双方向通信が処理される。
図3は、金属層をエッチングするための例示的な処理チャンバ300の、簡略化された切取り図である。例示的な処理チャンバ300は、基板290から一又は複数の膜層を除去するのに適している。本発明から恩恵を受けるよう適合しうるプロセスチャンバの一例は、カリフォルニア州Santa ClaraのApplied Materials, Inc.から入手可能なAdvantEdge Mesa Etch処理チャンバである。他の製造業者から入手可能なものを含む他の処理チャンバも、本発明の実施形態を実践するよう適合しうると想定されている。
処理チャンバ300は、チャンバ空間301が内部に画定されたチャンバ本体305を含む。チャンバ本体305は、接地326に連結されている側壁312及び底部318を有する。側壁312は、側壁312を保護し、処理チャンバ300の保守サイクル間の時間を延長するための、ライナ315を有する。処理チャンバ300のチャンバ本体305及び関連構成要素の寸法は限定されるものではなく、一般に、チャンバ内で処理される基板290のサイズよりも比例的に大きくなる。基板サイズの例は、直径200mm、直径250mm、直径300mm、及び直径450mmを含むが、これらに限らない。
チャンバ本体305は、チャンバリッドアセンブリ310を支持して、チャンバ空間301を封入する。チャンバ本体305は、アルミニウム又は他の好適な材料から製造されうる。
基板アクセスポート313は、チャンバ本体105の側壁312を通るように形成され、処理チャンバ300を出入りする基板290の移送を容易にする。アクセスポート313は、基板処理システムの移送チャンバ及び/又はその他のチャンバ(図示せず)に連結されうる。
ポンピングポート345が、チャンバ本体305の側壁312を通るように形成され、チャンバ空間301に接続される。チャンバ空間の内部を排気し、圧力制御するために、ポンピングデバイス(図示せず)が、ポンピングポート345を通じてチャンバ空間301に連結される。ポンピングデバイスは、一又は複数のポンプ及びスロットルバルブを含みうる。
プロセスガスをチャンバ空間301に供給するために、ガスパネル360が、ガスライン367によってチャンバ本体305に連結される。ガスパネル360は一又は複数のプロセスガスソース361、362、363、364を含んでよく、不活性ガス、非反応性ガス、及び反応性ガスを(それが望ましければ)追加的に含みうる。ガスパネル360によって提供されうるプロセスガスの例は、メタン(CH)、六フッ化硫黄(SF)、四フッ化炭素(CF)、臭化水素(HBr)、炭化水素含有ガス、アルゴンガス(Ar)、塩素(Cl)、窒素(N2)、及び酸素ガス(O)を含む炭化水素含有ガスを含むが、これに限定されるわけではない。加えて、プロセスガスは、塩素、フッ素、酸素、及び水素を含有するガス(例えばBCl、C、C、CHF、CH、CHF、NF、CO、SO、CO、及びHであるが、これらに限らない)を含みうる。
バルブ366は、ガスパネル360のソース361、362、363、364からのプロセスガスの流れを制御し、かつ、コントローラ365によって管理される。ガスパネル360からチャンバ本体305に供給されるガスの流れは、ガスの組み合わせを含みうる。
リッドアセンブリ310は、ノズル314を含みうる。ノズル314は、ガスパネル360のソース361、362、364、363からのプロセスガスをチャンバ空間301に導入するための、一又は複数のポートを有する。プロセスガスが処理チャンバ300内に導入された後、ガスは通電されて(energized)プラズマを形成する。アンテナ348(一又は複数のインダクタコイルなど)が、処理チャンバ300に隣接して設けられうる。アンテナ電力供給源342は、エネルギー(RFエネルギーなど)をプロセスガスに誘導結合して、処理チャンバ300のチャンバ空間301内のプロセスガスから形成されるプラズマを維持するために、整合回路341を通じてアンテナ348に電力供給しうる。RF電力をプロセスガスに容量結合してチャンバ空間301内でプラズマを維持するために、アンテナ電力供給源342の代わりに、又はアンテナ電力供給源342に加えて、基板290の下方及び/又は基板290の上方のプロセス電極が使用されることもある。アンテナ電力供給源342の動作は、コントローラ(コントローラ365など)によって制御されてよく、このコントローラは、処理チャンバ300内の他の構成要素の動作も制御する。
処理中に基板290を支持するために、チャンバ空間301内に基板支持ペデスタル335が配置される。基板支持ペデスタル335は、処理中に基板290を保持するための静電チャック322を含みうる。静電チャック(ESC)322は、静電引力を利用して基板290を基板支持ペデスタル335に保持する。ESC322は、整合回路324と一体化したRF電力供給源325によって電力供給される。ESC322は、誘電体本体内に埋め込まれた電極321を備える。RF電力供給源325は、電極321に約200ボルト~約2000ボルトのRFチャック電圧を供給しうる。RF電力供給源325は、基板290をチャックし、チャック解除するためにDC電流を電極321へと導くことによって電極321の動作を制御するための、システムコントローラも含みうる。
ESC322は、内部に配置された電極351も含みうる。電極351は、電源350に連結され、かつ、チャンバ空間301内のプロセスガスによって形成されたプラズマイオンをESC322及びESC322上に配置された基板290に誘引するバイアスを提供する。電源350は、基板290の処理中にオンとオフを繰り返すか、又はパルスを発しうる。ESC322は、ESC322の保守寿命を延長するよう、ESC322の側壁がプラズマを誘引しにくくするための絶縁部328を有する。加えて、基板支持ペデスタル335は、基板支持ペデスタル335の側壁をプラズマガスから保護し、処理チャンバ300の保守間の期間を延長するために、カソードライナ336を有しうる。
ESC322が、ESC322内に配置され、かつ電源(図示せず)に接続された、基板を加熱するためのヒータを含みうる一方、ESC322を支持する冷却ベース329は、ESC322及びその上に配置された基板290の温度を維持するために、熱伝達流体を循環させるための導管を含みうる。ESC322は、基板290上に製造されているデバイスの熱収支によって求められる温度範囲内で稼働するよう構成される。例えば、ESC322は、ある種の実施形態では、基板290を摂氏約マイナス25度~摂氏約500度の温度に維持するよう構成されうる。
冷却ベース329は、基板290の温度の制御を支援するために設けられる。プロセスドリフト及びプロセス時間を短縮するために、基板290の温度は、基板290が処理チャンバ300内にある間ずっと、冷却ベース329によって実質的に一定に維持されうる。一実施形態では、基板290の温度は、その後のエッチングプロセスの間ずっと、摂氏約70度~摂氏約90度に維持される。
ESC322上に、基板支持ペデスタル335の周縁に沿ってカバーリング330が配置される。カバーリング330は、基板支持ペデスタル335の上面を処理チャンバ300内部のプラズマ環境からシールドしつつ、エッチングガスを基板290の露出した上面の所望の部分から出さないよう構成される。基板290を基板支持ペデスタル335の上方に上昇させて、移送ロボット(図示せず)又はその他の好適な移送機構による基板290へのアクセスを容易にするために、リフトピン(図示せず)は、基板支持ペデスタル335を通るように選択的に動かされる。
コントローラ365は、処理シーケンスを制御し、ガスパネル360から処理チャンバ300内へのガス流、及びその他の処理パラメータを調節するために利用されうる。ソフトウェアルーチンは、CPUによって実行されると、CPUを処理チャンバ300を制御する特定目的コンピュータ(コントローラ)へと転換し、これにより、本書の発明にしたがってプロセスが実施される。ソフトウェアルーチンは、処理チャンバ300に併設された第2コントローラ(図示せず)によって記憶され、かつ/又は実行されることもある。
基板290は、基板290の上に配置された様々な膜層を有し、かかる膜層は少なくとも1つの金属層を含みうる。かかる様々な膜層は、エッチングレシピであって、基板290の他の膜層の種々の組成に固有のエッチングレシピを必要としうる。VLSI及びULSIの技術の中心を占めるマルチレベル相互接続には、高アスペクト比のフィーチャ(例えばビア及びその他の相互接続)製造が必要となりうる。かかる相互接続の構築には、様々な膜層内にパターンを形成するための一又は複数のエッチングレシピが必要となりうる。かかるレシピは、単一のエッチング処理チャンバ内で、又はいくつかのエッチング処理チャンバにわたって、実施されうる。各エッチング処理チャンバは、エッチングレシピのうちの一又は複数を用いてエッチングを行うよう構成されうる。一実施形態では、処理チャンバ300は、導電性構造物を形成するために、金属層を少なくともエッチングするよう構成される。本書で提供している処理パラメータに関しては、処理チャンバ300は、300mm直径の基板(すなわち、約0.0707mの平面面積を有する基板)を処理するよう構成される。フロー及び電力といったプロセスパラメータは、一般に、チャンバ容積又は基板平面面積の変化に比例して拡大縮小されうる。
図4は、本書に記載の方法を実践しうる半導体処理システム400の平面図を示している。本書の発明から恩恵を受けるよう適合しうる処理システムの1つは、カリフォルニア州Santa ClaraのApplied Materials, Inc.から市販されている300mm又は450mmのPRODUCER(登録商標)処理システムである。処理システム400は、一般に、前面プラットフォームであって、そこでFOUP414に含まれる基板カセット418が支持され、基板がロードロックチャンバ409にローディングされ、ロードロックチャンバ409からアンローディングされる前面プラットフォーム402と、基板ハンドラ413を収納する移送チャンバ411と、移送チャンバ411に装着された一連のタンデム処理チャンバ406とを、含む。
タンデム処理チャンバ406の各々は、基板を処理するための2つのプロセス領域を含む。2つのプロセス領域は、同じガス供給装置、同じ圧力制御装置、及び同じプロセスガス排気/ポンピングシステムを共有している。システムのモジュール設計は、1つの構成から任意の他の構成への迅速な変換を可能にする。チャンバの構成及び組み合わせは、特定のプロセスステップを実施するという目的のために変更されうる。タンデム処理チャンバ406のいずれも、、後述する本書の発明の態様であって、図2及び/又は図3に示している処理チャンバ232、300を参照して上述した一又は複数のチャンバ構成を含む態様による、リッドを含みうる。処理システム400は、必要に応じて、堆積プロセス、エッチングプロセス、硬化プロセス、又は加熱/アニーリングプロセスを実施するように構成されうることが認識されている。一実施形態では、図2及び図3の設計で単一チャンバとして図示されている処理チャンバ232、300が、半導体処理システム400に組み込まれうる。
一実行形態では、処理システム400は、サポートチャンバハードウェアであって、様々な他の既知のプロセス(例えば化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、硬化、又は加熱/アニーリングなど)に適応することが既知である、サポートチャンバハードウェアを有する、タンデム処理チャンバのうちの一又は複数に適合しうる。例えば、システム400は、例えば金属膜の堆積のためのプラズマ堆積チャンバとして、図2の処理チャンバ232のうちの1つを有するか、又は基板上に形成された材料層をエッチングするためのプラズマエッチングチャンバとして、図3に示している処理チャンバ300のうちの1つを有するよう、構成されうる。かかる構成により、製造利用の研究開発を最大化すること、及び、エッチングされた膜の外気への曝露を(それが望ましければ)なくすことが可能になる。
中央処理装置(CPU)444、メモリ442、及びサポート回路446を含むコントローラ440は、半導体処理システム400の様々な構成要素に連結されて、本書の発明のプロセスの制御を容易にする。メモリ442は、半導体処理システム400又はCPU444に対してローカル若しくはリモートの、任意のコンピュータ可読媒体(例えばランダムアクセスメモリ(RAM)、読取専用メモリ(ROM)、フロッピーディスク、ハードディスク、又は、他の任意の形態のデジタルストレージ)でありうる。サポート回路446は、従来的な様態でCPUをサポートするために、CPU444に連結される。かかる回路は、キャッシュ、電力供給源、クロック回路、入出力回路網、及びサブシステムなどを含む。メモリ442に記憶されているソフトウェアルーチン又は一連のプログラム命令は、CPU444によって実行されると、タンデム処理チャンバ406を作動させる。
図5は、基板上に配置された膜積層体にメモリセル構造物を形成するための方法500であって、必要に応じて、処理チャンバ(例えば、システム400に組み込まれた、図2に示している処理チャンバ232、及び図3に示している処理チャンバ300、又は他の好適な処理チャンバ)並びにシステムにおいて実施されうる方法500の、一実施形態のフロー図である。図6A~図6B、図7、図8A~図8B、図9A~図9B、図10A~図10B、図11A~図11B、図12A~図12B、図13A~図13B、及び図14A~図14Bは、方法500により基板上に配置された膜積層体にメモリセル構造物を形成するためのシーケンスを示す、概略断面図である。方法500について、三次元半導体デバイスのための膜積層体にメモリセル構造物を製造するために利用される基板を参照しつつ後述するが、方法500は、他のデバイス製造応用においても、有利に使用されうる。
方法500は、図6Aに示しているように膜積層体604が上に形成された基板(基板602など)を提供することによって、工程502で始まる。基板602は、必要に応じて、ケイ素ベースの材料、又は任意の好適な絶縁材料若しくは導電性材料であってよく、膜積層体604にメモリセル構造物を形成するために利用されうる、基板602上に配置された膜積層体604を有していることがある。
図6Aに描かれている例示的な実施形態に示しているように、基板602は、実質的に平坦な表面、平坦ではない表面、又は実質的に平坦な表面であって、その上に構造物が形成された表面を有しうる。膜積層体604は基板602上に形成される。一実施形態では、膜積層体604は、フロントエンドプロセス又はバックエンドプロセスでゲート構造物、コンタクト構造物、又は相互接続構造物を形成するために利用されうる。方法500は、メモリセル構造物(VNAND構造物など)で使用される階段状構造物を膜積層体604で形成するために、膜積層体604に対して実施されうる。一実施形態では、基板602は、結晶シリコン(例えばSi<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハとパターニングされた又はパターニングされていないウエハ、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアといった、材料でありうる。基板602は、様々な寸法(例えば200mm、300mm、450mm、又はその他の直径を有してよく、長方形又は正方形のパネルであることもありうる。別途明記されない限り、本書に記載の実施形態及び例は、200mm直径、300mm直径、450mm直径を有する基板上で実行される。基板602でSOI構造が利用される実施形態では、基板602は、ケイ素結晶性基板に配置された埋め込み型誘電体層を含みうる。本書に記載の実施形態では、基板602は結晶シリコン基板でありうる。
一実施形態では、基板600上に配置された膜積層体604は、垂直方向に積層されたいくつかの層を有する、膜積層体604を有しうる。膜積層体604は、膜積層体604中に反復的に形成された第1層604a及び第2層604bを含む複数の対を備えうる。かかる対は、第1層604aと第2層604bとの対が望ましい数に到達するまで反復的に形成された、交互になった第1層と第2層とを含む。
膜積層体604は、メモリセルデバイス(三次元(3D)メモリデバイスなど)の一部となる。第1層604aと第2層604bとが11回反復している層を図6Aに示しているが、任意の望ましい数だけ反復している第1層と第2層との複数の対が必要に応じて利用されうることが、認識されている。
一実施形態では、膜積層体604は、三次元(3D)メモリデバイスのための多重ゲート構造物を形成するために利用されうる。膜積層体604中に形成される第1層604aは、第1誘電体層であってよく、第2層604bは第2誘電体層でありうる。第1層604a及び第2層604bを形成するためには好適な誘電体層が利用されてよく、かかる好適な誘電体層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、酸炭化ケイ素、窒化チタン、酸化物と窒化物との複合物、窒化物層を挟持している少なくとも一又は複数の酸化物層、及びこれらの組み合わせを含みうるが、これらに限らない。
特定の一例では、第1層604aは窒化ケイ素層である一方、第2層604bは酸化ケイ素層又はポリシリコン層である。一実施形態では、第1層604aの厚さは、約50Åと約1000Åとの間(約500Åなど)に制御されてよく、第2層604bの各々の厚さは、約50Åと約1000Åとの間(約500Åなど)に制御されうる。膜積層体604の総厚は約3ミクロン~10ミクロンであるが、技術の進歩につれて変化するであろう。
窒化ケイ素層の第1層604aと酸化ケイ素層の第2層604bとの膜積層体604は、基板602上に形成される。膜積層体604は、絶縁構造物610によって取り囲まれている階段状構造物になるように形成され、パターニングされる。膜積層体604における開口606(例えば、トレンチ、ビア、開孔、又は穴とも称される)の形成を容易にするために、膜積層体604上にハードマスク層608が形成されうる。開口606は、製造プロセス完了時にデバイス構造物内のチャネルとして形成するために利用されうる。開口606が膜積層体604内に形成された後に、開口606の底部分にシリコン材料又はSiGe材料といったケイ素含有ポスト612を成長させるために、エピタキシャル堆積プロセスが実施される。デバイス構造物及び構成は、種々のデバイス性能要件に対する必要に応じて変更されうることが認識されている。
図6Bは、第1層604a及び第2層606bによって取り囲まれた開口606を示す、膜積層体604の一部分(円で示した部分)の拡大図を示している。膜積層体604のこの拡大図は、以下の説明において、説明を簡単にするために、図6Aに示している膜積層体604の全体的な断面図の代わりに利用されることになる。
工程503において、図7に示しているように、制御層又はエッチング停止層702が開口606内にオプションで形成されうる。制御層/エッチング停止層702は、第1層604aを膜積層体604から除去する時の後続のエッチングプロセス又は除去プロセスにおいて、界面管理を支援しうる。制御層/エッチング停止層702は、開口606によって側壁704a、704bが露出していることにより、第1層604a及び第2層604bと直接接触する。制御層/エッチング停止層702は、後続のエッチングプロセスで浸食性(aggressive)エッチャントが開口606内に入り込むことを防止し、ゆえに、開口606内に後に形成される構造物又は膜層に対する好ましくない浸食を防止しうる。一実施形態では、制御層/エッチング停止層702は、絶縁材料(SiN、SiON又はその他の好適な材料など)によって形成されうる。制御層702は、10Å未満の薄い厚さを有しうる。制御層702が存在しない実施形態では、後述する工程で説明するその後の層は、側壁704aと704b、第1層106a、及び第2層106bにそれぞれ直接接触して、開口606内に直接形成されうる。
工程504において、図8A及び図8Bに示しているように、開口606内の側壁704aから第1層604aを選択的に酸化し、酸化層802を形成するために、選択的酸化プロセスが実施される。図8Aに示している例は、制御層702が開口606内に存在していることを示す一方、図8Bに示している例は、制御層702が開口606内に存在しないことを示している。以下の図のうち「A」の表示で終わるものは、開口606内制御層702が存在している様々な処理事例における断面図を示し、以下の図のうち「B」の表示で終わるものは、開口606内に制御層702が存在していない様々な処理事例における断面図を示している。一部の図では、図示している構成要素又はフィーチャの参照番号の一部が、他の構成要素又はフィーチャを不明瞭にすることを避けるよう、省略されることがある。これは、図の描写を簡潔にするためである。
次いで、(特にエッチング停止層が形成される場合には)制御層/エッチング停止層702が形成されることが認識されている。エッチング停止層自体が、浸食性エッチャントが開口606内に入り込んで開口606内の層を浸食するのを防止するような高いエッチング選択性を提供する、ブロッキング層としての役割を果たしうるので、酸化層802をなくすことが可能になる。
第1層604aは、SiN層といったケイ素含有材料であるので、選択的酸化プロセス中に供給される酸素元素は、第1層604aのケイ素元素と反応し、第1層604aの側壁704aに酸化層802を形成する。第2層604bからのシリコン源により、比較的少量の酸化層が第2層604bにも形成されうることが、認識されている。
一例では、選択的酸化プロセスは、第1層604aの側壁704aを酸化するために利用される、ラジカルプラズマ酸化プロセスである。選択的酸化プロセスは、第1層604aの側壁704aに酸化層802を形成する。一例では、選択的酸化(ラジカルプラズマ酸化プロセスなど)は、個別の酸化チャンバ内で実施されうる。ある種の実施形態では、酸化チャンバは、一体型の処理ツール(図4に示しているクラスタシステム400など)に連結されてよく、又はかかる一体型の処理ツールの一部でありうる。本書に記載の方法は、他の処理チャンバ及びクラスタツール(それに連結された好適な処理チャンバを有する)を使用して実施されうることが想定されている。
一例では、本書に記載の選択的酸化プロセスは、ラジカル酸化(インシトゥ(その場)蒸気生成(ISSG)としても既知である)などのために構成された、任意の好適なチャンバ内で実施されうる。好適な酸化チャンバは、RADIANCE(登録商標)、Plasma Immersion Ion Implantation(P3I)、VANTAGE(登録商標)RADOX(商標)、VANTAGE(登録商標)RADIANCE(登録商標)Plus、CENTURA(登録商標)RADOX(商標)という、カリフォルニア州Santa ClaraのApplied Materials, Inc.から入手可能なチャンバを含みうるが、これらに限定されるわけではない。例示的な表面酸化プロセス(又は、ラジカルプラズマ酸化プロセスと称される)は、酸素(O)、一酸化窒素(NO)、酸化二窒素(NO)などのうちの一又は複数といった酸化ガスを含む酸化混合ガス中の還元ガスの還元ガス濃度を変動させることを含む、様々な酸化化学現象を用いて実施されうる。かかる還元ガスは、水素(H)、アンモニア(NH)などのうちの一又は複数であり、前記酸化混合ガスは、オプションで、窒素ガス(N)、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、及びキセノン(Xe)のうちの一又は複数といった、非反応性ガスを含む。ラジカルプラズマ酸化の一形態は、H及びOだけを使用して実施される。
工程504における選択的酸化プロセスは、ラジカルプラズマ酸化プロセス又は「インシトゥ蒸気生成」(ISSG)プロセスである。この選択的酸化プロセスは、酸素処理された(oxygenated)環境において熱的に制御された第1層604a上での酸化物成長を提供しうる。インシトゥ蒸気生成(ISSG)プロセスは、酸化される基板が配置されているのと同じチャンバ内で蒸気(HO)を形成することを含む(すなわち、蒸気は基板と共にインシトゥで形成される)。水素含有ガス(H及びNHなどであるが、これらに限定されるわけではない)と、酸素含有ガス(O及びNOなどであるが、これらに限定されるわけではない)とを含む反応性混合ガスが、内部に基板が配置されている反応チャンバ内に供給される。酸素含有ガスと水素含有ガスとは、反応して反応チャンバ内に湿気又は蒸気(HO)を形成するために供給される。水素含有ガスと酸素含有ガスとの反応は、蒸気反応を引き起こすのに十分な温度まで基板602を加熱することによって、点火される(ignited)か、又は触媒される。加熱された基板602が反応のための点火源として使用されるので、蒸気生成反応は、基板602から露出した反応性表面に近接して発生する。
一例では、表面酸化は、約2Torrと約30Torrとの間(例えば約14Torr)の圧力で、900℃を上回る温度(例えば約1000℃と約1200℃との間の温度、例としては約1050℃)で、約30秒と約300秒との間の時間(例えば約130秒間)にわたって、実施される。選択的酸化プロセス中、体積流量で33%の水素と67%の酸素を有する全ガス流が使用される。選択的に形成される酸化層802は、約2nmと約100nmとの間の厚さを有しうる。
形成された酸化層802は、後続のエッチングプロセス中に犠牲層及び/又は保護層として利用されることにより、開口606内に形成された膜層を保護することが可能であり、ゆえに、エッチングプロセス中のエッチング選択性を向上させ、強化しうる。
工程506において、金属誘電体層902が、図9Aに示しているように制御層/エッチング停止層702をライニングするように、又は図9Bに示しているように酸化層802及び第2層604bと直接接触するように、開口606内に垂直方向に形成される。図9Aに示している例では、金属誘電体層902は、制御層/エッチング停止層702と直接接触している。図9Bに示している例では、金属誘電体層902は、酸化層802と同様に第2層604bの側壁704bとも接触して、開口606内に垂直方向に形成されている。
金属誘電体層902は、4を上回る誘電率を有する高誘電率材料でありうる。高誘電率材料の好適な例は、二酸化ハフニウム(HfO)、二酸化ジルコニウム(ZrO)、ハフニウムシリコン酸化物(HfSiO)、ハフニウムアルミニウム酸化物(HfAlO)、ジルコニウムシリコン酸化物(ZrSiO)、五酸化タンタル(Ta)、酸化アルミニウム(Al)、アルミニウムがドープされた二酸化ハフニウム、ビスマスストロンチウムチタン(BST)、及びプラチナジルコニウムチタン(PZT)を含むが、これらに限らない。図9A及び図9Bに示している例では、金属誘電体層902は酸化アルミニウム層(Al)でありうる。
一例では、金属誘電体層902は、好適な堆積プロセス(CVDプロセス、ALDプロセス、スパッタプロセス、又は他の好適な堆積プロセスなど)によって形成される。特定の一例では、金属誘電体層902は、ALDプロセスによって形成される。膜積層体604の開口606内に垂直方向に形成された金属誘電体層902は、NAND又はVNANDの三次元半導体メモリデバイス内のゲート構造物として利用される場合、膜積層体604における電気的性能を有効に改善しうる(保持要件の強化及びバックトンネリング電流の抑制など)と考えられている。隣り合った第2層同士の間の第1層を高誘電率材料が取り囲んでいる従来型の構造物とは異なり、金属誘電体層902の開口606内へのリロケーションによって、各ユニットセル内の余剰スペース(例えば、第1層が隣り合った第2層同士の間の導電性構造物として置き換えられることを可能にするスペース)を有することが可能になり、ゆえに、第1層を金属層に置き換えるプロセスのための堆積プロセス、エッチングプロセス、又はその他の関連プロセスのプロセスウィンドウが広くなりうる。
金属誘電体層902が形成された後に、次いで工程508において、図10A及び図10Bに示しているように、多層構造物904が、金属誘電体層902をライニングするように、開口606内に形成されうる。多層構造物904は、一又は複数の誘電体材料を含みうる。図10Aから図10Bに示している一例では、多層構造物904は、第1酸化物層904aと、第1窒化物層904bと、第2酸化物層904cと、ポリシリコン層904dとを含む。第1酸化物層904a及び第2酸化物層904cは、酸化ケイ素層であり、第1窒化物層904bは窒化ケイ素又は酸窒化ケイ素(SiON)の層である。
工程510において、図11Aから図11Bに示しているように、中心充填層906が開口606内に形成され、多層構造物904以外の残存スペースを充填する。中心充填層906は、誘電体層(例えばSiO、SiN、SiON、又はその他の好適な誘電体材料)であってもよい。多層構造物904及び中心充填層906は、好適な堆積プロセス(CVDプロセス、ALDプロセス、スパッタリングプロセス、コーティングプロセス、又はその他の好適なプロセスなど)によって形成されうることが認識されている。一例では、中心充填層906及び多層構造物904は、図2に示している処理チャンバ232内で形成されうる。
開口606が金属誘電体層902、多層構造物904、及び中心充填層906で充填された後、工程512において、図12A及び12Bに示しているように、膜積層体604から第1層604aを選択的に除去するために、横方向選択的エッチングプロセスが実施される。膜積層体604から第1層604aを除去することにより、膜積層体604内の第1層604aがあったところにスペース910aが形成され、ゆえに、基板602上に酸化ケイ素層の第2層604bのみが残存している、サスペンド膜積層体(suspended film stack)が形成される。スペース910aは酸化層802を露出させる。第1層604aと金属誘電体層902との間の界面に位置付けられた酸化層802は、横方向選択的エッチングプロセスにおいて、金属誘電体層902を有効に保護しうる。酸化層802による遮断によって、横方向選択的エッチングプロセスによる浸食性エッチャントは、横方向選択的エッチングプロセスにおいて有効に遮断され、金属誘電体層902から離れたままに留められうる。これにより、エッチング選択性が強化され、界面制御及び界面管理が向上する。制御層/エッチング停止層702が利用される例では、制御層/エッチング停止層702と酸化層802との組み合わせにより、開口606内に形成された金属誘電体層902への損傷を防止するための強固な界面保護が提供されうる。エッチング停止層702が形成される場合の一部の例では、エッチング停止層自体が、界面でのエッチング制御を有効に提供するための高いエッチング選択性を提供しうるので、酸化層802をなくすことが可能になる。
第2層604b同士の間にスペース910aが画定された後、次いで工程514において、図13A及び図13Bに示しているように、酸化層802が基板602から除去されうる。酸化層802は、工程512の横方向選択的エッチングプロセスにおいて金属誘電体層902を保護するための保護犠牲層としての役割を果たす。第1層604aが除去され、スペース910aが有効に画定された後、その時点で酸化層802の機能は果たされているので、次いで工程514において、酸化層802は除去される。酸化層802は図3に示している処理チャンバ300内で除去されうることが認識されている。酸化層802は必要に応じた好適なエッチングプロセスによって除去されうることが認識されている。
一例では、酸化層802を除去する時に、図13Cに示しているように、制御層/エッチング停止層702の酸化層802と接触している部分も除去され、余剰スペース952aが創出されて、金属誘電体層902の側壁表面952aを露出させうる。制御層/エッチング停止層702の一部分が除去されても、制御層/エッチング停止層702の別の部分は、依然として開口内に残存し、第2層604bの側壁704bと接触している。
酸化層802が除去された後、次いで工程516において、図14A、図14B、及び図14Cに示しているように、導電性構造物912が形成され、膜積層体604内のスペース910aに充填される。導電性構造物912は、隣り合った第2層604b同士の間に画定されたスペース910a内に充填され、比較的大きな接触面積及び大きな量を有する。従来的な実践においては、スペース910aは導電性構造物912のみで充填されるわけではなく、金属誘電体層によっても充填される(例えば、本開示では、金属誘電体層902はここで開口606内にリロケートされている)。スペース910aを金属誘電体層が占有することで、多くの場合、界面におけるエッチング選択性が悪くなると共に、スペース910a内に形成されうる導電性構造物912の接触面積及び量が低減する。ゆえに、金属誘電体層902が、第2層604b同士の間のスペース910aの代わりに開口606内にリロケートされることによって、スペース910aの寸法を大きくすることができ、これにより、スペース910aの中に形成される導電性構造物912の量が大きくなることが可能になる。更に、スペース910aの寸法が大きくなることで、スペース910aにおいて第1層604aを導電性構造物912で置き換える時に、製造上の制限及び複雑度が低減されうるということもある。更に、スペース910aの寸法が大きくなることで、その中でより多くの量の導電性構造物912の置き換えが可能になり、これにより、金属伝導率の増大及び抵抗の減少が得られ、ゆえに、デバイス構造物の完成時の電気的性能が向上しうる。
膜積層体604で利用される導電性構造物912内の金属材料は、後にNAND又はVNANDの三次元半導体メモリデバイスにおけるゲート構造物として利用される時に、膜積層体604における電気的性能(例えば導電性や移動度など)を有効に向上させうると考えられている。堆積プロセスは、必要に応じて、有機金属化学気相堆積(MOCVD)プロセス若しくはスパッタリング物理的気相堆積プロセス、又は他の好適なプロセスでありうる。堆積プロセスは、図2に示している処理チャンバ232内で実施されうる。図14A、14B、及び14Cに示している例では、導電性構造物912は、バリア層916上に形成された金属材料914を含む。バリア層916は、金属誘電体層902とは異なる材料から製造される。酸化層802及び/又は制御層/エッチング停止層702の一部分が(存在している場合に)除去されると、バリア層916は、電気的性能を向上させるように、必要に応じて、金属誘電体層902と直接接触しうるか、又は界面接続されうる。
金属材料914の好適な例は、タングステン(W)、ケイ化タングステン(WSi)、タングステンポリシリコン(W/poly)、タングステン合金、タンタル(Ta)、チタン(Ti)、銅(Cu)、ルテニウム(Ru)、ニッケル(Ni)、コバルト(Co)、クロム(Cr)、鉄(Fe)、マンガン(Mn)、アルミニウム(Al)、ハフニウム(Hf)、バナジウム(V)、モリブデン(Mo)、パラジウム(Pd)、金(Au)、銀(Au)、白金(Pt)、これらの合金、又はこれらの組み合わせ、からなる群から選択されうる。バリア層916の好適な例は、金属窒化物層又は金属窒化ケイ素層(窒化チタン(TiN)、窒化タンタル(TaN)、TaSiN、TiSiN、及びこれらの組み合わせなどであるが、これらに限らない)でありうる。
特定の一例において、金属材料914はタングステン(W)含有層であってよく、バリア層916は、窒化チタン(TiN)、窒化タンタル(TaN)、TaSiN、又はTiSiNでありうる。導電性構造物912は、必要に応じて、バリア層916なしで金属材料914だけを有することもあると認識されている。
ゆえに、半導体デバイスのメモリセルの三次元(3D)積層体を製造するために階段状構造物を形成するための、方法及び装置が提供される。膜積層体における誘電体層を導電性構造物で置き換えるために、選択的堆積と選択的エッチングとのプロセスと共に、界面における保護酸化層(例えば犠牲酸化層)が利用される。半導体デバイスのメモリセルを三次元(3D)積層するために、膜積層体の開口(例えばチャネル)内に、金属誘電体材料が形成される。この保護/犠牲酸化層は、除去プロセスにおいて、界面及び金属誘電体層を保護し、ゆえに、界面プロファイル及びトポグラフィの良好な制御を提供しうる。その結果として、その後導電性構造物が内部に形成される時、界面における良好な電気的接触が得られ、ゆえに、メモリセルに望ましい電気的性能が提供されうる。
以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及びさらなる実施形態が考案されうる。本開示の範囲は、以下の特許請求の範囲によって決まる。

Claims (15)

  1. メモリセルデバイスであって、
    基材上に水平に形成された、交互になった誘電体層と導電性構造物との複数の対を備える膜積層体と、
    前記膜積層体内に形成された開口と、を備え、前記開口が、金属誘電体層、多層構造物、及び中心充填層で充填され、前記開口内の前記金属誘電体層は、前記導電性構造物と界面接続される、
    メモリセルデバイス。
  2. 前記導電性構造物が、
    金属材料と、
    前記金属材料を覆うバリア層と、を更に備える、請求項1に記載のメモリセルデバイス。
  3. 前記開口内の前記金属誘電体層が高誘電率材料である、請求項1に記載のメモリセルデバイス。
  4. 前記金属誘電体層、前記多層構造物、及び前記中心充填層が、前記開口内に垂直方向に配置される、請求項1に記載のメモリセルデバイス。
  5. 前記金属含有材料が、タングステン(W)、ケイ化タングステン(WSi)、タングステンポリシリコン(W/poly)、タングステン合金、タンタル(Ta)、チタン(Ti)、銅(Cu)、ルテニウム(Ru)、ニッケル(Ni)、コバルト(Co)、クロム(Cr)、鉄(Fe)、マンガン(Mn)、アルミニウム(Al)、ハフニウム(Hf)、バナジウム(V)、モリブデン(Mo)、パラジウム(Pd)、金(Au)、銀(Au)、白金(Pt)、これらの合金、又はこれらの組み合わせ、からなる群から選択される、請求項2に記載のメモリデバイス。
  6. 前記バリア層が、窒化チタン(TiN)、窒化タンタル(TaN)、TaSiN、又はTiSiNである、請求項2に記載のメモリデバイス。
  7. 前記高誘電率材料が、二酸化ハフニウム(HfO)、二酸化ジルコニウム(ZrO)、酸化ハフニウムケイ素(HfSiO)、酸化ハフニウムアルミニウム(HfAlO)、酸化ジルコニウムケイ素(ZrSiO2)、五酸化タンタル(Ta)、酸化アルミニウム(Al)、アルミニウムがドープされた二酸化ハフニウム、ビスマスストロンチウムチタン(BST)、及びプラチナジルコニウムチタン(PZT)、からなる群から選択される、請求項3に記載のメモリデバイス。
  8. 前記誘電体層が酸化ケイ素層である、請求項1に記載のメモリデバイス。
  9. 前記膜積層体中の前記誘電体層に選択的に接触するように、前記開口内に形成されたエッチング停止層を更に含む、請求項1に記載のメモリデバイス。
  10. 前記中心充填層が酸化ケイ素材料である、請求項1に記載のメモリデバイス。
  11. 基板上のメモリデバイスの形成方法であって、
    第1層と第2層とを含む膜積層体内に開口を形成することと、
    前記第1層を選択的に酸化させて、前記第1層の側壁に酸化層を形成することと、
    金属誘電体層を含む一又は複数の層で、前記開口を充填することと、
    前記膜積層体から前記第1層を選択的に除去して、前記酸化層を露出させることと、
    前記膜積層体から前記酸化層を選択的に除去して、前記膜積層体内にスペースを画定することと、
    導電性構造物で前記スペースを充填することと、を含む、
    方法。
  12. 前記第1層は窒化ケイ素層であり、前記第2層は酸化ケイ素層である、請求項11に記載の方法。
  13. 前記第1層を選択的に酸化させることが、
    前記第1層を酸化させるためにラジカルプラズマ酸化を実施することを更に含む、請求項11に記載の方法。
  14. 前記金属誘電体層が、二酸化ハフニウム(HfO)、二酸化ジルコニウム(ZrO)、酸化ハフニウムケイ素(HfSiO)、酸化ハフニウムアルミニウム(HfAlO)、酸化ジルコニウムケイ素(ZrSiO2)、五酸化タンタル(Ta)、酸化アルミニウム(Al)、アルミニウムがドープされた二酸化ハフニウム、ビスマスストロンチウムチタン(BST)、及びプラチナジルコニウムチタン(PZT)、からなる群から選択された高誘電率材料である、請求項11に記載の方法。
  15. 前記導電性構造物が金属材料及びバリア層を備える、請求項11に記載の方法。
JP2023072540A 2018-12-20 2023-04-26 3dnand応用のためのメモリセルの製造 Pending JP2023106406A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862783013P 2018-12-20 2018-12-20
US62/783,013 2018-12-20
JP2021534670A JP7270740B2 (ja) 2018-12-20 2019-10-18 3dnand応用のためのメモリセルの製造

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021534670A Division JP7270740B2 (ja) 2018-12-20 2019-10-18 3dnand応用のためのメモリセルの製造

Publications (1)

Publication Number Publication Date
JP2023106406A true JP2023106406A (ja) 2023-08-01

Family

ID=71098852

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021534670A Active JP7270740B2 (ja) 2018-12-20 2019-10-18 3dnand応用のためのメモリセルの製造
JP2023072540A Pending JP2023106406A (ja) 2018-12-20 2023-04-26 3dnand応用のためのメモリセルの製造

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2021534670A Active JP7270740B2 (ja) 2018-12-20 2019-10-18 3dnand応用のためのメモリセルの製造

Country Status (6)

Country Link
US (2) US11158650B2 (ja)
JP (2) JP7270740B2 (ja)
KR (1) KR20210094636A (ja)
CN (1) CN113169176A (ja)
TW (1) TW202040799A (ja)
WO (1) WO2020131208A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
US11638377B2 (en) 2019-09-13 2023-04-25 Applied Materials, Inc. Self-aligned select gate cut for 3D NAND
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
US20220049160A1 (en) * 2020-08-13 2022-02-17 Entegris, Inc. Nitride etchant composition and method

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6964928B2 (en) 2002-08-29 2005-11-15 Chentsau Ying Method for removing residue from a magneto-resistive random access memory (MRAM) film stack using a dual mask
US6841395B2 (en) 2002-11-25 2005-01-11 International Business Machines Corporation Method of forming a barrier layer of a tunneling magnetoresistive sensor
US7241654B2 (en) * 2003-12-17 2007-07-10 Micron Technology, Inc. Vertical NROM NAND flash memory array
KR100650846B1 (ko) 2004-10-06 2006-11-27 에스티마이크로일렉트로닉스 엔.브이. 플래시 메모리 소자의 소자 분리막 형성방법
KR100674952B1 (ko) * 2005-02-05 2007-01-26 삼성전자주식회사 3차원 플래쉬 메모리 소자 및 그 제조방법
KR100682537B1 (ko) * 2005-11-30 2007-02-15 삼성전자주식회사 반도체 소자 및 그 형성 방법
US7750421B2 (en) 2007-07-23 2010-07-06 Magic Technologies, Inc. High performance MTJ element for STT-RAM and method for making the same
KR100881825B1 (ko) * 2007-07-27 2009-02-03 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
KR101226685B1 (ko) * 2007-11-08 2013-01-25 삼성전자주식회사 수직형 반도체 소자 및 그 제조 방법.
JP5259242B2 (ja) * 2008-04-23 2013-08-07 株式会社東芝 三次元積層不揮発性半導体メモリ
KR101527195B1 (ko) * 2009-02-02 2015-06-10 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자
TWI408458B (zh) 2009-07-24 2013-09-11 Wistron Corp 側光式背光模組
KR101164954B1 (ko) 2009-09-14 2012-07-12 에스케이하이닉스 주식회사 3차원 구조를 갖는 비휘발성 메모리 소자 및 그 제조 방법
US8553466B2 (en) * 2010-03-04 2013-10-08 Samsung Electronics Co., Ltd. Non-volatile memory device, erasing method thereof, and memory system including the same
KR101682666B1 (ko) * 2010-08-11 2016-12-07 삼성전자주식회사 비휘발성 메모리 장치, 그것의 채널 부스팅 방법, 그것의 프로그램 방법 및 그것을 포함하는 메모리 시스템
US9028910B2 (en) 2010-12-10 2015-05-12 Avalanche Technology, Inc. MTJ manufacturing method utilizing in-situ annealing and etch back
US8866242B2 (en) 2011-11-10 2014-10-21 Qualcomm Incorporated MTJ structure and integration scheme
US8823118B2 (en) 2012-01-05 2014-09-02 Headway Technologies, Inc. Spin torque transfer magnetic tunnel junction fabricated with a composite tunneling barrier layer
US9076879B2 (en) 2012-09-11 2015-07-07 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device and method for fabricating the same
KR20150102302A (ko) 2014-02-28 2015-09-07 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
KR102183713B1 (ko) * 2014-02-13 2020-11-26 삼성전자주식회사 3차원 반도체 장치의 계단형 연결 구조 및 이를 형성하는 방법
US9564582B2 (en) 2014-03-07 2017-02-07 Applied Materials, Inc. Method of forming magnetic tunneling junctions
KR102240024B1 (ko) 2014-08-22 2021-04-15 삼성전자주식회사 반도체 장치, 반도체 장치의 제조방법 및 에피택시얼층의 형성방법
US9230979B1 (en) * 2014-10-31 2016-01-05 Sandisk Technologies Inc. High dielectric constant etch stop layer for a memory structure
KR101943553B1 (ko) 2014-11-25 2019-04-18 삼성전자주식회사 좌우 대칭의 이온 빔을 이용한 패턴 형성 방법, 이를 이용한 자기 기억 소자의 제조방법, 및 좌우 대칭의 이온 빔을 발생시키는 이온 빔 장비
US9634097B2 (en) 2014-11-25 2017-04-25 Sandisk Technologies Llc 3D NAND with oxide semiconductor channel
US9601508B2 (en) 2015-04-27 2017-03-21 Sandisk Technologies Llc Blocking oxide in memory opening integration scheme for three-dimensional memory structure
US20160351799A1 (en) 2015-05-30 2016-12-01 Applied Materials, Inc. Hard mask for patterning magnetic tunnel junctions
US9613977B2 (en) * 2015-06-24 2017-04-04 Sandisk Technologies Llc Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices
KR102413766B1 (ko) * 2015-09-08 2022-06-27 삼성전자주식회사 비휘발성 메모리 장치 및 그의 제조 방법
JP6581012B2 (ja) 2016-02-17 2019-09-25 東芝メモリ株式会社 半導体記憶装置及びその製造方法
EP3427312A4 (en) 2016-03-07 2019-10-30 INTEL Corporation APPROACHES FOR INTEGRATING STT-MRAM STORAGE ARRAYS IN A LOGIC PROCESSOR AND RESULTING STRUCTURES
US10096649B2 (en) 2016-08-04 2018-10-09 Qualcomm Incorporated Reducing or avoiding metal deposition from etching magnetic tunnel junction (MTJ) devices, including magnetic random access memory (MRAM) devices
KR102650994B1 (ko) * 2016-10-14 2024-03-26 삼성전자주식회사 메모리 장치
JP2018137299A (ja) 2017-02-21 2018-08-30 東芝メモリ株式会社 半導体装置
JP2018173608A (ja) 2017-03-31 2018-11-08 大日本印刷株式会社 熱線遮蔽部材
US10355012B2 (en) * 2017-06-26 2019-07-16 Sandisk Technologies Llc Multi-tier three-dimensional memory device with stress compensation structures and method of making thereof
US10069064B1 (en) 2017-07-18 2018-09-04 Headway Technologies, Inc. Memory structure having a magnetic tunnel junction (MTJ) self-aligned to a T-shaped bottom electrode, and method of manufacturing the same
US10700087B2 (en) * 2017-10-12 2020-06-30 Applied Materials, Inc. Multi-layer stacks for 3D NAND extendibility
US10903230B2 (en) * 2018-02-15 2021-01-26 Sandisk Technologies Llc Three-dimensional memory device containing through-memory-level contact via structures and method of making the same
JP2019161162A (ja) * 2018-03-16 2019-09-19 東芝メモリ株式会社 半導体装置およびその製造方法
US10629611B2 (en) * 2018-04-24 2020-04-21 Sandisk Technologies Llc Three-dimensional memory device and methods of making the same using replacement drain select gate electrodes
US10553492B2 (en) * 2018-04-30 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Selective NFET/PFET recess of source/drain regions
US10741576B2 (en) * 2018-08-20 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device containing drain-select-level air gap and methods of making the same
JP2020047706A (ja) 2018-09-18 2020-03-26 キオクシア株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US20200203373A1 (en) 2020-06-25
KR20210094636A (ko) 2021-07-29
JP7270740B2 (ja) 2023-05-10
US11574924B2 (en) 2023-02-07
WO2020131208A1 (en) 2020-06-25
CN113169176A (zh) 2021-07-23
JP2022513260A (ja) 2022-02-07
TW202040799A (zh) 2020-11-01
US20210217773A1 (en) 2021-07-15
US11158650B2 (en) 2021-10-26

Similar Documents

Publication Publication Date Title
JP7270740B2 (ja) 3dnand応用のためのメモリセルの製造
US11101174B2 (en) Gap fill deposition process
US9484406B1 (en) Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
KR101095416B1 (ko) 금속함유 게이트전극을 갖는 전계효과 트랜지스터의게이트 구조체를 제조하는 방법
US11127760B2 (en) Vertical transistor fabrication for memory applications
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
US20160240385A1 (en) Gate electrode material residual removal process
US10930556B2 (en) Contact over active gate structure
US8994089B2 (en) Interlayer polysilicon dielectric cap and method of forming thereof
US10930555B2 (en) Contact over active gate structure
KR20220126757A (ko) 서브트랙티브 자기-정렬을 위한 방법들 및 디바이스들
US20230072732A1 (en) Methods for etching structures with oxygen pulsing
CN106504991B (zh) 用于制造半导体应用的水平全环栅极器件的纳米线的方法
US20210108309A1 (en) Techniques to improve adhesion and defects for tungsten carbide film
US11658042B2 (en) Methods for etching structures and smoothing sidewalls
TW202249058A (zh) 選擇性阻障金屬蝕刻

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230526

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230526