JP7118023B2 - 成膜方法および成膜装置 - Google Patents

成膜方法および成膜装置 Download PDF

Info

Publication number
JP7118023B2
JP7118023B2 JP2019057164A JP2019057164A JP7118023B2 JP 7118023 B2 JP7118023 B2 JP 7118023B2 JP 2019057164 A JP2019057164 A JP 2019057164A JP 2019057164 A JP2019057164 A JP 2019057164A JP 7118023 B2 JP7118023 B2 JP 7118023B2
Authority
JP
Japan
Prior art keywords
region
gas
film
substrate
boron
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019057164A
Other languages
English (en)
Other versions
JP2020158805A (ja
Inventor
有美子 河野
秀司 東雲
進一 池
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2019057164A priority Critical patent/JP7118023B2/ja
Priority to KR1020217033044A priority patent/KR102650949B1/ko
Priority to PCT/JP2020/010854 priority patent/WO2020195903A1/ja
Priority to US17/598,175 priority patent/US20220181144A1/en
Publication of JP2020158805A publication Critical patent/JP2020158805A/ja
Application granted granted Critical
Publication of JP7118023B2 publication Critical patent/JP7118023B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/0215Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/32Processes for applying liquids or other fluent materials using means for protecting parts of a surface not to be coated, e.g. using stencils, resists
    • B05D1/322Removable films used as masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Description

本開示は、成膜方法および成膜装置に関する。
特許文献1には、フォトリソグラフィ技術を用いずに、基板の特定の領域に選択的に対象膜を形成する技術が開示されている。具体的には、対象膜の形成を阻害する自己組織化単分子膜(Self-Assembled Monolayer:SAM)を基板の一部の領域に形成し、基板の残りの領域に対象膜を形成する技術が開示されている。
特表2007-501902号公報
本開示の一態様は、導電材料の表面酸化によって形成される酸化物が露出する第1領域と絶縁材料が露出する第2領域とのうちの、第2領域にSAMを選択的に形成できる、技術を提供する。
本開示の一態様の成膜方法は、
導電材料の表面酸化によって形成される酸化物が露出する第1領域、および絶縁材料が露出する第2領域を有する基板を準備する工程と、
ハロゲン化ホウ素ガスを前記基板に対して供給することにより、前記導電材料の前記酸化物の膜を酸化ホウ素の膜に置換する工程と、
フッ素を含むシラン系化合物のガスを前記基板に対して供給することにより、前記第1領域で前記酸化ホウ素の膜をエッチングすると共に、前記第2領域に自己組織化単分子膜を形成する工程と、
前記第2領域に形成した前記自己組織化単分子膜を用いて、前記第1領域および前記第2領域のうちの前記導電材料が露出した前記第1領域に選択的に導電性の対象膜を形成する工程とを含む。
本開示の一態様によれば、導電材料の表面酸化によって形成される酸化物が露出する第1領域と絶縁材料が露出する第2領域とのうちの、第2領域にSAMを選択的に形成できる。
図1は、一実施形態に係る成膜方法を示すフローチャートである。 図2は、図1に示す工程S101~S104での基板の状態の一例を示す側面図である。 図3は、図1に示す工程S105~S108での基板の状態の一例を示す側面図である。 図4は、図1に示す工程S101~S107を実施する成膜装置の一例を示す断面図である。 図5は、図1に示す工程S108を実施する研磨装置の一例を示す断面図である。
以下、本開示の実施形態について図面を参照して説明する。なお、各図面において同一の又は対応する構成には同一の符号を付し、説明を省略することがある。
図1は、一実施形態に係る成膜方法を示すフローチャートである。図2は、図1に示す工程S101~S104での基板の状態の一例を示す側面図である。図2(a)は工程S101で準備される基板の状態を示し、図2(b)は工程S102で得られる基板の状態を示し、図2(c)は工程S103で得られる基板の状態を示し、図2(d)は工程S104で得られる基板の状態を示す。図3は、図1に示す工程S105~S108での基板の状態の一例を示す側面図である。図3(a)は工程S105で得られる基板の状態を示し、図3(b)は工程S106で得られる基板の状態を示し、図3(c)は工程S107で得られる基板の状態を示し、図3(d)は工程S108で得られる基板の状態を示す。
成膜方法は、図2(a)に示すように基板10を準備する工程S101を含む。準備することは、例えば、後述する処理容器120(図4参照)の内部に基板10を搬入することを含む。基板10は、第1材料が露出する第1領域A1と、第1材料とは異なる第2材料が露出する第2領域A2とを有する。第1領域A1と第2領域A2とは、基板10の板厚方向片側に設けられる。
第1領域A1の数は、図2(a)では1つであるが、複数でもよい。例えば2つの第1領域A1が第2領域A2を挟むように配置されてもよい。同様に、第2領域A2の数は、図2(a)では1つであるが、複数でもよい。例えば2つの第2領域A2が第1領域A1を挟むように配置されてもよい。
なお、図2(a)では第1領域A1および第2領域A2のみが存在するが、第3領域がさらに存在してもよい。第3領域は、第1材料および第2材料とは異なる第3材料が露出する領域である。第3領域は、第1領域A1と第2領域A2との間に配置されてもよいし、第1領域A1および第2領域A2の外に配置されてもよい。
第1材料は、例えば導電材料の表面酸化によって形成される酸化物である。導電材料は例えばタングステン(W)であり、導電材料の酸化物は例えば酸化タングステン(WO)である。なお、導電材料は、本実施形態ではタングステンであるが、モリブデン(Mo)、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)、バナジウム(V)、または窒化バナジウム(VN)などでもよい。これらの導電材料の表面は、大気中で時間の経過と共に自然に酸化される。その酸化物が第1材料である。第1材料である酸化物は、酸窒化物であってもよく、後述する工程S102で酸化ホウ素に置換されるものであればよい。
なお、酸化タングステンを、酸素とタングステンとの組成比に関係なく「WO」とも表記する。また、窒化チタンを、窒素とチタンとの組成比に関係なく「TiN」とも表記する。同様に、窒化タンタルを「TaN」とも表記し、窒化バナジウムを「VN」とも表記する。
第2材料は、例えば絶縁材料である。絶縁材料は、例えば酸化ケイ素である。以下、酸化ケイ素を、酸素とケイ素の組成比に関係なく「SiO」とも表記する。なお、第2材料は、本実施形態では酸化ケイ素であるが、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、または酸化アルミニウムなどでもよい。第2材料は、第1材料とは異なり、後述する工程S102で別の材料(例えば酸化ホウ素)にほとんど置換されないものであればよい。つまり、後述する工程S102において、第2材料から別の材料への置換速度は、第1材料から酸化ホウ素への置換速度よりも遅い。
基板10は、例えば、上記の導電材料で形成される導電膜11と、上記の絶縁材料で形成される絶縁膜12とを有する。導電膜11の表面には、大気中で、酸化物膜13が時間の経過と共に自然に形成される。導電膜11は例えばタングステン膜であり、酸化物膜13は例えば酸化タングステン膜である。
また、基板10は、導電膜11と絶縁膜12が形成される下地基板14を有する。下地基板14は、例えばシリコンウェハなどの半導体基板である。なお、下地基板14は、ガラス基板などであってもよい。
なお、基板10は、下地基板14と導電膜11との間に、下地基板14および導電膜11とは異なる材料で形成される下地膜をさらに有してもよい。同様に、基板10は、下地基板14と絶縁膜12との間に、下地基板14および絶縁膜12とは異なる材料で形成される下地膜をさらに有してもよい。
成膜方法は、ハロゲン化ホウ素ガスを基板10に対して供給することにより、図2(b)に示すように酸化物膜13を酸化ホウ素膜20に置換する工程S102を含む。ハロゲン化ホウ素ガスと酸化物膜13とが化学反応することにより、ハロゲン化金属と酸化ホウ素とが生成される。基板10は予め定められた温度に加熱されており、ハロゲン化金属が揮発するので、酸化ホウ素膜20が形成される。ハロゲン化金属の蒸気圧が酸化ホウ素の蒸気圧よりも高く、ハロゲン化金属の気化速度が酸化ホウ素の気化速度よりも高くなる温度に基板10が加熱される。
ハロゲン化ホウ素ガスとして、本実施形態では三塩化ホウ素(BCl)ガスが用いられる。BClガスとWOとが化学反応することにより、例えばWClとBが生成する。基板10は予め定められた温度に加熱されており、WClが揮発するので、B膜が形成される。
なお、ハロゲン化ホウ素ガスは、ホウ素とハロゲンを含有するガスであればよく、三塩化ホウ素には限定されない。例えば、ハロゲン化ホウ素ガスは、三フッ化ホウ素(BF)ガス、三ヨウ化ホウ素(BI)ガス、または三臭化ホウ素(BBr)ガスなどでもよい。
成膜方法は、フッ素を含むシラン系化合物のガスを基板10に対して供給することにより、図2(c)に示すように、第1領域A1で酸化ホウ素膜20をエッチングすると共に、第2領域A2にSAM(自己組織化単分子膜)30を形成する工程S103を含む。SAM30は、シラン系化合物が第2領域A2に化学吸着することにより形成され、後述する導電性の対象膜40の形成を阻害する。なお、第1領域A1および第2領域A2に加えて第3領域が存在する場合、第3領域にはSAM30が形成されてもよいし、形成されなくてもよい。
フッ素を含むシラン系化合物は、例えば、一般式R-SiH3-xCl(x=1、2、3)で表される化合物、またはR´-Si(O-R)で表される化合物(シランカップリング剤)である。ここで、R、R´は、アルキル基の水素の少なくとも一部をフッ素に置換した基等の官能基である。その官能基の末端基は、CF系である。また、O-Rは、加水分解可能な官能基、例えばメトキシ基、エトキシ基である。フッ素を含むシラン系化合物は、特に限定されないが、例えば、CF(CFCHCHSiCl、CF(CFCHCHSi(OMe)、またはCF(CFCHCHSi(OEt)などである。ここで、Xは、0以上7以下の整数である。
シラン系化合物は、フッ素を含むので、酸化ホウ素膜20をエッチングできる。第1領域A1では、SAM30の形成と、酸化ホウ素膜20のエッチングとが同時に進行するので、SAM30の形成を抑制できる。第1領域A1には、第2領域A2に比べてSAM30がほとんど形成されない。第1領域A1では、SAM30の密度が低いので、後述する工程S104でガスがSAM30を避けながら酸化ホウ素膜20と化学反応できる。この化学反応によって酸化ホウ素膜20を除去でき、導電膜11を露出できる。
なお、酸化ホウ素膜20の厚さが薄く、工程S103の終了までに導電膜11が露出し、且つ導電膜11が金属膜である場合には、後述する工程S104は不要である。シラン系化合物は、OH基を有する表面に化学吸着するので、金属よりも金属化合物に化学吸着しやすい。従って、導電膜11が金属膜である場合、導電膜11が露出した後は、シラン系化合物は、第1領域A1および第2領域A2のうちの第2領域A2に選択的に化学吸着する。
上記の通り、成膜方法は、酸化物膜13から酸化ホウ素膜20への置換(工程S102)と、酸化ホウ素膜20のエッチングおよびSAM30の形成(工程S103)とを含む。第1領域A1では、SAM30の形成と、酸化ホウ素膜20のエッチングとが同時に進行するので、SAM30の形成を抑制できる。
成膜方法は、SAM30の形成(工程S103)後、導電性の対象膜40の形成(工程S105)前に、基板10に対してガスを供給することにより、図2(d)に示すように第1領域A1に残る酸化ホウ素膜20をエッチングし除去する工程S104を有する。この工程S104で使用するガスは、特に限定されないが、例えばフッ化水素(HF)ガスである。HFガス以外に、例えば三フッ化塩素(ClF)ガスや三フッ化窒素(NF)ガスなども使用可能である。
SAM30は、工程S104で使用するガスに対して耐性を有するので、第2領域A2に残る。一方、第1領域A1では、SAM30の密度が低いので、ガスはSAM30を避けながら酸化ホウ素膜20と化学反応する。その化学反応によって、酸化ホウ素膜20を除去でき、導電膜11を露出できる。酸化ホウ素膜20の除去によって、酸化ホウ素膜20上のSAM30をも除去でき、第2領域A2のみにSAM30を残すことができる。つまり、酸化ホウ素膜20の除去によって、SAM30のリフトオフを実施できる。
成膜方法は、図3(a)に示すように、第2領域A2に形成したSAM30を用いて、第1領域A1および第2領域A2のうちの導電材料が露出した第1領域A1に選択的に導電性の対象膜40を形成する工程S105を含む。対象膜40は、SAM30とは異なる材料、例えば金属、金属化合物または半導体で形成される。SAM30は導電性の対象膜40の形成を阻害するので、導電性の対象膜40は第1領域A1に選択的に形成される。なお、第1領域A1および第2領域A2に加えて第3領域が存在する場合、第3領域には導電性の対象膜40が形成されてもよいし、形成されなくてもよい。
導電性の対象膜40は、例えばCVD(Chemical Vapor Deposition)法またはALD(Atomic Layer Deposition)法で形成される。第1領域A1に元々存在する導電膜11に、さらに導電性の対象膜40を積層できる。導電性の対象膜40の材料と、導電膜11の材料とは、本実施形態では同一の材料(例えばW)であるが、異なる材料であってもよい。例えば、導電性の対象膜40の材料は、タングステン(W)の他に、モリブデン(Mo)、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)、バナジウム(V)、または窒化バナジウム(VN)などであってもよい。
導電性の対象膜40としてタングステン膜をALD法で形成する場合、処理ガスとして六塩化タングステン(WCl)ガスなどのW含有ガスと、水素(H)ガスなどの還元性ガスとが、基板10に対して交互に供給される。これらの処理ガスは、化学反応を促進すべく、加熱されてもよい。また、これらの処理ガスは、化学反応を促進すべく、プラズマ化されてもよい。
成膜方法は、導電性の対象膜40の形成(工程S105)後に、基板10に対して酸素含有ガスを供給することにより、図3(b)に示すようにSAM30をエッチングし除去する工程S106を含む。酸素含有ガスは、SAM30を分解し、ガス化する。ガス化したSAM30は除去され、絶縁膜12が第2領域A2に露出する。
SAM30をエッチングする酸素含有ガスは、特に限定されないが、例えば酸素(O)ガス、オゾン(O)ガス、または水蒸気などである。これらの酸素含有ガスは、化学反応を促進すべく、高温に加熱されてもよい。また、これらの酸素含有ガスは、化学反応を促進すべく、プラズマ化されてもよい。
成膜方法は、SAM30の除去(工程S106)後に、図3(c)に示すように第1領域A1および第2領域A2に絶縁性の対象膜50を形成する工程S107を含む。絶縁性の対象膜50は、例えばCVD法またはALD法で形成される。第2領域A2に元々存在する絶縁膜12に、さらに絶縁性の対象膜50を積層できる。
絶縁性の対象膜50の材料と、絶縁膜12の材料とは、本実施形態では同一の材料(例えばSiO)であるが、異なる材料であってもよい。例えば、導電膜11の材料は、酸化ケイ素の他に、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、または酸化アルミニウムなどであってもよい。
絶縁性の対象膜50としてSiO膜をALD法で形成する場合、処理ガスとして、ジクロロシラン(SiHCl)ガスなどのSi含有ガスと、オゾン(O)ガスなどの酸化ガスとが、基板10に対して交互に供給される。Si含有ガスおよび酸化ガスの他に、水素(H)ガスなどの改質ガスが基板10に対して供給されてもよい。これらの処理ガスは、化学反応を促進すべく、プラズマ化されてもよい。また、これらの処理ガスは、化学反応を促進すべく、加熱されてもよい。
成膜方法は、図3(d)に示すように、第1領域A1に導電性の対象膜40が露出し、第2領域A2に絶縁性の対象膜50が露出し、且つ第1領域A1と第2領域A2とが平坦になるように絶縁性の対象膜50を研磨する工程S108を含む。絶縁性の対象膜50の研磨は、例えばCMP(Chemical Mechanical Polishing)である。絶縁性の対象膜50の研磨によって、第1領域A1において導電性の対象膜40を露出できる。また、第1領域A1と第2領域A2とを平坦化できる。
図4は、図1に示す工程S101~S107を実施する成膜装置の一例を示す断面図である。成膜装置100は、図1に示す工程S101~S108のうちの、少なくとも工程S101~S103およびS105を実施すればよい。残りの工程S104およびS106~S108のうち、工程S104は上記の通り実施されなくてもよく、工程S106~S108は別の装置で実施されてもよい。成膜装置100は、処理ユニット110と、搬送装置170と、制御装置180とを備える。処理ユニット110は、処理容器120と、基板保持部130と、加熱器140と、ガス供給装置150と、ガス排出装置160とを有する。
処理ユニット110は、図4には1つのみ図示するが、複数であってもよい。複数の処理ユニット110は、いわゆるマルチチャンバーシステムを形成する。複数の処理ユニット110は、真空搬送室101を囲むように配置される。真空搬送室101は、真空ポンプによって排気され、予め設定された真空度に保持される。真空搬送室101には、搬送装置170が鉛直方向および水平方向に移動可能に、且つ鉛直軸周りに回転可能に配置される。搬送装置170は、複数の処理容器120に対して基板10を搬送する。処理容器120の内部の処理室121と、真空搬送室101とは、これらの気圧がいずれも大気圧よりも低い気圧である時に連通し、基板10の搬入出が行われる。真空搬送室101の代わりに大気搬送室が設けられる場合とは異なり、基板10の搬入出時に大気が大気搬送室から処理室121の内部に流れ込むのを防止できる。処理室121の気圧を下げるための待ち時間を削減でき、基板10の処理速度を向上できる。
処理容器120は、基板10が通過する搬入出口122を有する。搬入出口122には、搬入出口122を開閉するゲートGが設けられる。ゲートGは、基本的に搬入出口122を閉じており、基板10が搬入出口122を通る時に搬入出口122を開く。搬入出口122の開放時に、処理容器120の内部の処理室121と、真空搬送室101とが連通する。搬入出口122の開放前に、処理室121と真空搬送室101とは、いずれも、真空ポンプによって排気され、予め設定された気圧に維持される。
基板保持部130は、処理容器120の内部で基板10を保持する。基板保持部130は、基板10の処理ガスに曝される表面を上に向けて、基板10を下方から水平に保持する。基板保持部130は、枚葉式であって、一枚の基板10を保持する。なお、基板保持部130は、バッチ式でもよく、同時に複数枚の基板10を保持してもよい。バッチ式の基板保持部130は、複数枚の基板10を、鉛直方向に間隔をおいて保持してもよいし、水平方向に間隔をおいて保持してもよい。
加熱器140は、基板保持部130で保持されている基板10を加熱する。加熱器140は、例えば電気ヒータであり、電力供給によって発熱する。加熱器140は、例えば、基板保持部130の内部に埋め込まれ、基板保持部130を加熱することにより、基板10を所望の温度に加熱する。なお、加熱器140は、石英窓を介して基板保持部130を加熱するランプを含んでもよい。この場合、石英窓が堆積物で不透明になるのを防止すべく、基板保持部130と石英窓との間にアルゴンガスなどの不活性ガスが供給されてもよい。また、加熱器140は、処理容器120の外部から処理容器120の内部に配置される基板10を加熱してもよい。
なお、処理ユニット110は、基板10を加熱する加熱器140だけではなく、基板10を冷却する冷却器をさらに有してもよい。基板10の温度を高速で昇温できるだけではなく、基板10の温度を高速で降温できる。一方、基板10の処理が室温で行われる場合、処理ユニット110は加熱器140および冷却器を有しなくてもよい。
ガス供給装置150は、基板10に対して予め設定された処理ガスを供給する。処理ガスは、例えば、工程S102~S107毎に用意される。工程S102~S107は、それぞれが互いに異なる処理容器120の内部で実施されてもよいし、任意の組合せの2つ以上が同じ処理容器120の内部で連続的に実施されてもよい。後者の場合、ガス供給装置150は、工程の順番に従って、複数種類の処理ガスを、予め設定された順番で基板10に対して供給する。
ガス供給装置150は、例えば、ガス供給管151を介して処理容器120と接続される。ガス供給装置150は、処理ガスの供給源と、各供給源から個別にガス供給管151まで延びる個別配管と、個別配管の途中に設けられる開閉バルブと、個別配管の途中に設けられる流量制御器とを有する。開閉バルブが個別配管を開くと、供給源からガス供給管151に処理ガスが供給される。その供給量は流量制御器によって制御される。一方、開閉バルブが個別配管を閉じると、供給源からガス供給管151への処理ガスの供給が停止される。
ガス供給管151は、ガス供給装置150から供給される処理ガスを、処理容器120の内部、例えばシャワーヘッド152に供給する。シャワーヘッド152は、基板保持部130の上方に設けられる。シャワーヘッド152は、内部に空間153を有し、空間153に溜めた処理ガスを多数のガス吐出孔154から鉛直下方に向けて吐出する。シャワー状の処理ガスが、基板10に対して供給される。
ガス排出装置160は、処理容器120の内部からガスを排出する。ガス排出装置160は、排気管161を介して処理容器120と接続される。ガス排出装置160は、真空ポンプなどの排気源と、圧力制御器とを有する。排気源を作動させると、処理容器120の内部からガスが排出される。処理容器120の内部の気圧は、圧力制御器によって制御される。
制御装置180は、例えばコンピュータで構成され、CPU(Central Processing Unit)181と、メモリなどの記憶媒体182とを備える。記憶媒体182には、成膜装置100において実行される各種の処理を制御するプログラムが格納される。制御装置180は、記憶媒体182に記憶されたプログラムをCPU181に実行させることにより、成膜装置100の動作を制御する。また、制御装置180は、入力インターフェース183と、出力インターフェース184とを備える。制御装置180は、入力インターフェース183で外部からの信号を受信し、出力インターフェース184で外部に信号を送信する。
制御装置180は、図1に示す工程S101~S107を実施するように、加熱器140、ガス供給装置150、ガス排出装置160、および搬送装置170を制御する。制御装置180は、ゲートGも制御する。
図5は、図1に示す工程S108を実施する研磨装置の一例を示す断面図である。成膜装置100は、例えば、研磨装置210と、ロードロック装置220と、搬送装置230とをさらに備える。ロードロック装置220は、真空搬送室101から大気搬送室201に基板10を搬送する搬送経路の途中に、気圧を切り替えるロードロック室221を形成する。ロードロック室221の気圧は、大気圧よりも低い気圧と、大気圧とに切り替えられる。ロードロック装置220は、第1搬送口222と、第2搬送口223とを有する。第1搬送口222は、真空搬送室101とロードロック室221との間に形成され、ゲートG1によって開閉される。また、第2搬送口223は、ロードロック室221と大気搬送室201との間に形成され、ゲートG2によって開閉される。大気搬送室201は大気で満たされ、大気搬送室201には搬送装置230が鉛直方向および水平方向に移動可能に、且つ鉛直軸周りに回転可能に配置される。
絶縁性の対象膜50の形成(工程S107)後、搬送装置170(図4参照)が真空搬送室101からロードロック室221に基板10を搬送する。この時、第1搬送口222は開放され、第2搬送口223は閉塞され、ロードロック室221の気圧は真空搬送室101の気圧と同程度に維持される。ロードロック室221から真空搬送室101へのガスの流れ込みを防止できるので、真空搬送室101の気圧の変動を防止できる。
搬送装置170は、ロードロック室221に基板10を配置した後、ロードロック室221から真空搬送室101に退出する。その後、第1搬送口222が閉塞され、続いて、第2搬送口223が開放され、ロードロック室221の気圧が大気圧になる。なお、第1搬送口222の閉塞後、第2搬送口223の開放前に、ロードロック室221の気圧が大気圧になるようにロードロック室221にガスが供給されてもよい。第2搬送口223の開放後、搬送装置230がロードロック室221から基板10を受け取り、研磨装置210に搬送する。
研磨装置210は、例えば、下定盤211と、上定盤213と、ノズル214とを有する。下定盤211は水平に配置され、下定盤211の上面には研磨パッド212が貼付される。上定盤213は水平に配置され、上定盤213の下面には基板10が固定される。上定盤213は、基板10を水平に保持し、絶縁性の対象膜50を研磨パッド212に押し付ける。上定盤213の直径は下定盤211の半径よりも小さく、下定盤211の回転中心線C1よりも径方向外側に上定盤213が配置される。上定盤213の回転中心線C2は、下定盤211の回転中心線C1と平行にずらして配置される。下定盤211は、その鉛直な回転中心線C1を中心に回転させられる。上定盤213は、下定盤211の回転に伴って受動的に回転する。なお、上定盤213と下定盤211とは、独立に回転してもよく、別々の回転モータによって回転させられてもよい。ノズル214は、研磨パッド212に対して上方から研磨スラリーを供給する。研磨スラリーは、基板10と研磨パッド212との間に供給され、基板10の下面を平坦に研磨する。
制御装置180(図4参照)は、上記工程S108を実施するように、研磨装置210を制御する。また、制御装置180は、研磨装置210に加えて、搬送装置230およびロードロック装置220も制御する。
以上、本開示に係る成膜方法および成膜装置の実施形態について説明したが、本開示は上記実施形態などに限定されない。特許請求の範囲に記載された範疇内において、各種の変更、修正、置換、付加、削除、および組合わせが可能である。それらについても当然に本開示の技術的範囲に属する。
10 基板
11 導電膜
12 絶縁膜
14 下地基板
20 酸化ホウ素膜
30 SAM(自己組織化単分子膜)
40 導電性の対象膜
50 絶縁性の対象膜
100 成膜装置
110 処理ユニット
120 処理容器
130 基板保持部
150 ガス供給装置
160 ガス排出装置
170 搬送装置
180 制御装置
210 研磨装置

Claims (8)

  1. 導電材料の表面酸化によって形成される酸化物が露出する第1領域、および絶縁材料が露出する第2領域を有する基板を準備する工程と、
    ハロゲン化ホウ素ガスを前記基板に対して供給することにより、前記酸化物の膜を酸化ホウ素の膜に置換する工程と、
    フッ素を含むシラン系化合物のガスを前記基板に対して供給することにより、前記第1領域で前記酸化ホウ素の膜をエッチングすると共に、前記第2領域に自己組織化単分子膜を形成する工程と、
    前記第2領域に形成した前記自己組織化単分子膜を用いて、前記第1領域および前記第2領域のうちの前記導電材料が露出した前記第1領域に選択的に導電性の対象膜を形成する工程とを含む、成膜方法。
  2. 前記導電材料は、タングステン(W)、モリブデン(Mo)、チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)、バナジウム(V)、または窒化バナジウム(VN)である、請求項1に記載の成膜方法。
  3. 前記ハロゲン化ホウ素ガスは、三塩化ホウ素(BCl)、三フッ化ホウ素(BF)ガス、三ヨウ化ホウ素(BI)ガス、または三臭化ホウ素(BBr)ガスである、請求項1または2に記載の成膜方法。
  4. 前記自己組織化単分子膜の形成後、前記導電性の対象膜の形成前に、前記基板に対してガスを供給することにより、前記第1領域に残る前記酸化ホウ素の膜をエッチングし除去する工程を含む、請求項1~3のいずれか1項に記載の成膜方法。
  5. 前記第1領域に残る前記酸化ホウ素の膜をエッチングするガスは、フッ化水素(HF)ガスである、請求項4に記載の成膜方法。
  6. 前記導電性の対象膜の形成後に、前記基板に対して酸素含有ガスを供給することにより、前記自己組織化単分子膜をエッチングし除去する工程を含む、請求項1~5のいずれか1項に記載の成膜方法。
  7. 前記自己組織化単分子膜の除去後に、前記第1領域および前記第2領域に絶縁性の対象膜を形成する工程と、
    前記第1領域に前記導電性の対象膜が露出し、前記第2領域に前記絶縁性の対象膜が露出し、且つ前記第1領域と前記第2領域とが平坦になるように前記絶縁性の対象膜を研磨する工程とを含む、請求項6に記載の成膜方法。
  8. 処理容器と、
    前記処理容器の内部で前記基板を保持する基板保持部と、
    前記処理容器の内部にガスを供給するガス供給装置と、
    前記処理容器の内部からガスを排出するガス排出装置と、
    前記処理容器に対して前記基板を搬入出する搬送装置と、
    請求項1~7のいずれか1項に記載の成膜方法を実施するように、前記ガス供給装置、前記ガス排出装置および前記搬送装置を制御する制御装置とを備える、成膜装置。
JP2019057164A 2019-03-25 2019-03-25 成膜方法および成膜装置 Active JP7118023B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2019057164A JP7118023B2 (ja) 2019-03-25 2019-03-25 成膜方法および成膜装置
KR1020217033044A KR102650949B1 (ko) 2019-03-25 2020-03-12 성막 방법 및 성막 장치
PCT/JP2020/010854 WO2020195903A1 (ja) 2019-03-25 2020-03-12 成膜方法および成膜装置
US17/598,175 US20220181144A1 (en) 2019-03-25 2020-03-12 Film formation method and film formation device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019057164A JP7118023B2 (ja) 2019-03-25 2019-03-25 成膜方法および成膜装置

Publications (2)

Publication Number Publication Date
JP2020158805A JP2020158805A (ja) 2020-10-01
JP7118023B2 true JP7118023B2 (ja) 2022-08-15

Family

ID=72609374

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019057164A Active JP7118023B2 (ja) 2019-03-25 2019-03-25 成膜方法および成膜装置

Country Status (4)

Country Link
US (1) US20220181144A1 (ja)
JP (1) JP7118023B2 (ja)
KR (1) KR102650949B1 (ja)
WO (1) WO2020195903A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116065139A (zh) * 2021-11-02 2023-05-05 东京毅力科创株式会社 成膜方法和成膜装置
JP2023136579A (ja) * 2022-03-17 2023-09-29 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2024090273A1 (ja) * 2022-10-28 2024-05-02 東京エレクトロン株式会社 成膜方法および成膜装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009147170A (ja) 2007-12-14 2009-07-02 Panasonic Corp 半導体装置の製造方法および半導体装置の製造装置
JP2018046279A (ja) 2016-09-13 2018-03-22 東京エレクトロン株式会社 セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積
JP2018059182A (ja) 2016-07-19 2018-04-12 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ タングステンの選択堆積

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1116859A (ja) * 1997-05-01 1999-01-22 Ulvac Japan Ltd 選択cvd方法
JPH1197434A (ja) * 1997-07-23 1999-04-09 Hitachi Ltd 成膜装置、クリーニング方法、及び成膜方法
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US7585614B2 (en) * 2004-09-20 2009-09-08 International Business Machines Corporation Sub-lithographic imaging techniques and processes
WO2014097829A1 (ja) * 2012-12-17 2014-06-26 株式会社カネカ 太陽電池およびその製造方法、ならびに太陽電池モジュール

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009147170A (ja) 2007-12-14 2009-07-02 Panasonic Corp 半導体装置の製造方法および半導体装置の製造装置
JP2018059182A (ja) 2016-07-19 2018-04-12 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ タングステンの選択堆積
JP2018046279A (ja) 2016-09-13 2018-03-22 東京エレクトロン株式会社 セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積

Also Published As

Publication number Publication date
US20220181144A1 (en) 2022-06-09
JP2020158805A (ja) 2020-10-01
WO2020195903A1 (ja) 2020-10-01
KR20210138690A (ko) 2021-11-19
KR102650949B1 (ko) 2024-03-22

Similar Documents

Publication Publication Date Title
JP7118023B2 (ja) 成膜方法および成膜装置
JP5495847B2 (ja) 半導体装置の製造方法、基板処理装置および基板処理方法
WO2019229785A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2017069313A (ja) 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
TW201616577A (zh) 蝕刻方法
WO2021132163A1 (ja) 成膜方法及び成膜装置
WO2020189288A1 (ja) 成膜方法および成膜装置
JP7353200B2 (ja) 成膜方法
JP5078444B2 (ja) 半導体装置の製造方法、クリーニング方法及び基板処理装置
US9735007B2 (en) Method of processing substrate, substrate processing apparatus, and recording medium
KR102589043B1 (ko) 성막 방법
WO2020184284A1 (ja) 成膜方法および成膜装置
JP3897165B2 (ja) 半導体装置の製造方法及び基板処理装置
JP4563113B2 (ja) シリコン酸化膜の形成方法、半導体デバイスの製造方法および基板処理装置
KR20200111627A (ko) 성막 장치의 세정 방법
KR20220025888A (ko) 기판 처리 장치, 기판 지지구, 반도체 장치의 제조 방법 및 프로그램
JP7257949B2 (ja) 成膜方法及び成膜装置
JP7195190B2 (ja) 成膜方法および成膜装置
JP3915697B2 (ja) 成膜方法及び成膜装置
WO2022080169A1 (ja) 埋め込み方法及び成膜装置
TWI835038B (zh) 基板處理方法、半導體裝置之製造方法、基板處理裝置及程式
JP7159446B2 (ja) 基板処理方法、基板処理装置、プログラムおよび半導体装置の製造方法
WO2023181289A1 (ja) 基板処理装置、基板処理方法、半導体装置の製造方法、およびプログラム
WO2020235596A1 (ja) 成膜方法および成膜装置、ならびに処理容器のクリーニング方法
JP4418815B2 (ja) 半導体装置の製造方法及び基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220705

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220802

R150 Certificate of patent or registration of utility model

Ref document number: 7118023

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150