JP2018046279A - セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積 - Google Patents

セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積 Download PDF

Info

Publication number
JP2018046279A
JP2018046279A JP2017175487A JP2017175487A JP2018046279A JP 2018046279 A JP2018046279 A JP 2018046279A JP 2017175487 A JP2017175487 A JP 2017175487A JP 2017175487 A JP2017175487 A JP 2017175487A JP 2018046279 A JP2018046279 A JP 2018046279A
Authority
JP
Japan
Prior art keywords
substrate
metal
layer
metal layer
silanol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017175487A
Other languages
English (en)
Other versions
JP7330664B2 (ja
Inventor
エヌ.タピリー カンダバラ
N Tapily Kandabara
エヌ.タピリー カンダバラ
ジェイ.ルーシンク ゲリット
J Leusink Gerrit
ジェイ.ルーシンク ゲリット
ワイダ コーリー
Wajda Cory
ワイダ コーリー
カン ホヨン
Ho Yong Kang
ホヨン カン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2018046279A publication Critical patent/JP2018046279A/ja
Application granted granted Critical
Publication of JP7330664B2 publication Critical patent/JP7330664B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02499Monolayers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】 セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積を提供する。
【解決手段】 本発明の実施形態は、表面前処理を用いた選択的膜堆積方法を提供する。一実施形態によれば、本方法は、誘電体層と金属層とを含有する基材を提供する工程と、基材上にセルフアセンブル単層(SAM)を形成する分子を含有する反応ガスに基材を暴露する工程と、その後、堆積ガスに前記基材を暴露することにより前記金属層の表面と比べて選択的に前記誘電体層の表面上に金属酸化物膜を堆積する工程と、を含む。
【選択図】 図1B

Description

関連出願の相互参照
本出願は、2016年9月13日出願の米国仮特許出願第62/394,129号(その内容はすべて、参照により本明細書に組み込まれる)に関連し、それに基づく優先権を主張する。
本発明は、半導体加工および半導体デバイスに関し、より特定的には表面前処理を用いた選択的膜堆積方法に関する。
デバイスサイズが小さくなるにつれて、半導体デバイス製造の複雑さは増している。半導体デバイスの製造コストもまた増加しており、コスト効果的な解決策およびイノベーションが必要とされる。より小さいトランジスターが製造されるにつれて、パターン化フィーチャーのクリティカル寸法(CD)または分解能は製造上より厄介な問題になってきている。薄膜の選択的堆積は、高スケール化技術ノードでパターニングする際の主要な工程である。さまざまな材料表面上に選択的膜堆積を提供する新しい堆積方法が必要とされる。
本発明の実施形態は、表面前処理を用いた選択的膜堆積方法を提供する。
基材の加工方法が提供される。一実施形態によれば、本方法は、誘電体層と金属層とを含有する基材を提供する工程と、基材上にセルフアセンブル単層(SAM)を形成する分子を含有する反応ガスに基材を暴露する工程と、その後、堆積ガスに基材を暴露することにより金属層の表面と比べて選択的に誘電体層の表面上に金属酸化物膜を堆積する工程と、を含む。
本発明の他の実施形態によれば、本方法は、誘電体層と金属層とを含有する基材を提供する工程と、基材上にセルフアセンブル単層(SAM)を形成する分子を含有する反応ガスに基材を暴露する工程と、その後、金属含有前駆体を含有するガスに基材を暴露することにより金属層の表面と比べて選択的に誘電体層の表面上に金属含有触媒層を形成する工程と、酸化剤や加水分解剤をなんら存在させずに、約150℃以下の基材温度で、シラノールガスを含有するプロセスガスに基材を暴露して金属層と比べて選択的に金属含有触媒層上にSiO膜を堆積する工程と、を含む。
本発明の実施形態およびそれに付随する利点の多くは、以下の詳細な説明を参照することにより、とくに添付の図面と組み合わせて検討すれば、より完全な理解が容易に得られよう。
本発明の実施形態に従って金属酸化物膜を基材上に選択的に堆積する方法の模式的断面図を示す。 本発明の実施形態に従って金属酸化物膜を基材上で選択的に形成する方法の概略断面図を示す。 本発明の実施形態に従って基材上に選択的に堆積されたHfO膜の断面の透過型電子顕微鏡(TEM)画像を示す。 本発明の実施形態に従って基材上に選択的に堆積されたHfO膜の断面のTEM画像を示す。 図4Aの基材に対するTi、W、N、Si、O、およびHfの元素マップを示す。 本発明の実施形態に従って基材上に選択的に堆積されたHfO膜の断面のTEM画像を示す。 ブランケットHfO膜を含有する基材の断面のTEM画像を示す。 本発明の実施形態に従って基材上に選択的に堆積されたAl膜の断面のTEM画像を示す。 本発明の実施形態に従って基材上に選択的に堆積されたAl膜の断面のTEM画像を示す。 本発明の実施形態に従って基材上に選択的に堆積されたAl膜の断面のTEM画像を示す。 ブランケットAl膜を含有する基材の断面のTEM画像を示す。 本発明の実施形態に従って基材を加工する方法の概略断面図を示す。 本発明の実施形態に従って基材を加工する方法の概略断面図を示す。
本発明のいくつかの実施形態は、誘電体材料表面上に金属酸化物膜を選択的に堆積するのに有効な表面前処理方法を提供する。選択的堆積は、金属酸化物堆積が望まれる誘電体材料表面上への高速かつ効果的な堆積を提供しながら、金属酸化物堆積が望まれない金属層表面上および酸化金属層表面上で長いインキュベーション時間を提供することにより達成される。本発明の実施形態は、原子層堆積(ALD)、化学気相堆積(CVD)、スピンオン堆積などの表面感受性堆積プロセスに適用しうる。この改善された選択性は、金属層表面を含有する半導体デバイスにおいてライン間破壊および電気リークの性能に関して改善されたマージンを提供する。
図1Aおよび1Bは、本発明の実施形態に従って金属酸化物膜を基材上に選択的に堆積する方法の模式的断面図を示す。図1Aでは、基材1は、表面100Aを有する誘電体材料層100と、表面104Aを有する金属層104と、表面102Aを有する任意選択的な拡散障壁層102と、を含有する。誘電体材料層100は、たとえばSiOまたは金属含有誘電体材料を含有可能である。一例では、金属含有誘電体材料は、金属酸化物、金属窒化物、または金属酸窒化物を含有可能である。いくつかの例では、金属層104は、Cu、Al、Ta、Ti、W、Ru、Co、Ni、またはMoを含有する。
一実施形態によれば、図1Aの基材1は、基材1上にセルフアセンブル単層(SAM)を形成可能な分子を含有する反応ガスへの暴露により前処理される。SAMは、吸着により基材表面上に自発的に形成される分子アセンブリーであり、多かれ少なかれ大きな秩序ドメインとして組織化される。SAMは、ヘッド基とテール基と機能性末端基とを有する分子を含みうるとともに、SAMは、室温または室温超で蒸気相から基材上へのヘッド基の化学吸着、続いてテール基の遅い組織化により生成される。最初に、表面上に低分子密度で吸着質分子が無秩序分子塊を形成するかまたは秩序化二次元「レイダウン相」を形成し、何分間〜何時間かして分子カバレッジが高くなると、基材表面上に三次元結晶性または半結晶性構造を形成し始める。ヘッド基は基材上でアセンブル一体化し、一方、テール基は基材から離れてアセンブルする。
一実施形態によれば、SAMを形成する分子のヘッド基は、チオール、シラン、またはホスホネートを含みうる。シランの例としては、C、H、Cl、F、およびSi原子、またはC、H、Cl、およびSi原子を含む分子が挙げられる。分子の例としては、ペルフルオロデシルトリクロロシラン(perfluorodecyltrichlorosilane)(CF(CFCHCHSiCl)、ペルフルオロデカンチオール(perfluorodecanethiol)(CF(CFCHCHSH)、クロロデシルジメチルシラン(chlorodecyldimethylsilane)(CH(CHCHSi(CHCl)、およびtertブチル(クロロ)ジメチルシラン(tertbutyl(chloro)dimethylsilane)((CHCSi(CHCl)が挙げられるが、これらに限定されるものではない。
本発明者らは、基材上にSAMを形成する前処理を用いることにより金属層表面または酸化金属層表面と比べて誘電体材料表面上への後続の選択的金属酸化物堆積を有効にし得ることを発見した。この選択的堆積挙動は予想外であり、金属層表面上および酸化金属層表面上への金属酸化物堆積を防止または低減しつつ誘電体材料表面上に金属酸化物膜を選択的に堆積する新しい方法を提供する。SAM密度は金属層表面上および酸化金属層表面上ではより大きいと推測される。なぜなら、それらの表面上の分子の初期秩序は誘電体材料表面上よりも大きい可能性が高いからである。この選択的堆積方法は、誘電体材料表面上での金属酸化物膜の選択的形成のために現在使用されている多くの処理工程をなくすように使用しうる。
一実施形態によれば、金属層104の表面104Aは、反応ガスによる前処理前または前処理時に酸化しうる。酸化は、基材1を酸化ガスたとえばHOに暴露することにより行いうる。
前処理後、金属酸化物膜106は、基材1を堆積ガスに暴露することにより金属層104の表面104Aと比べて選択的に誘電体材料層100の表面100A上に堆積される。一例では、金属酸化物膜106は、HfO、ZrO、またはAlを含有しうる。金属酸化物膜106は、たとえば、ALDまたはプラズマ増強ALD(PEALD)により堆積しうる。いくつかの例では、金属酸化物膜106は、金属含有前駆体と酸化剤(たとえば、HO、H、プラズマ励起OまたはO)との交互暴露を用いてALDにより堆積しうる。
図2A〜2Cは、本発明の実施形態に従って金属酸化物膜を基材上で選択的に形成する方法の概略断面図を示す。図2A〜2Cに例示される方法は、図1Aおよび1Bの方法に類似している。図2Aの基材2は、表面200Aを有する誘電体材料層200と、表面204Aを有する金属層204と、表面202Aを有する任意選択的な拡散障壁層202と、を含有する。誘電体材料層は、たとえばSiOまたは金属含有誘電体材料を含有可能である。一例では、金属含有誘電体材料は、金属酸化物、金属窒化物、または金属酸窒化物を含有可能である。いくつかの例では、金属層204は、Cu、Al、Ta、Ti、W、Ru、Co、Ni、またはMoを含有する。
一実施形態によれば、図2Aの基材2は、基材2上にセルフアセンブル単層(SAM)を形成可能な分子を含有する反応ガスへの暴露により前処理される。前処理後、金属酸化物膜206は、基材2を堆積ガスに暴露することにより金属層204の表面204Aと比べて選択的に誘電体材料層200の表面200A上に堆積される。一例では、金属酸化物膜206は、HfO、ZrO、またはAlを含有しうる。金属酸化物膜206は、たとえば、ALDまたはPEALDにより堆積しうる。いくつかの例では、金属酸化物膜206は、金属含有前駆体と酸化剤(たとえば、HO、H、プラズマ励起OまたはO)との交互暴露を用いてALDにより堆積しうる。
図2Bに示されるように、堆積ガスへの暴露は、誘電体材料層200の表面200A上への金属酸化物膜206の堆積のほかに、金属層204の表面204A上に金属酸化物核207を形成するおそれがある。これは、堆積プロセスがあまりに長く行われる場合または堆積選択性が不適正である場合に起こりうる。金属酸化物核207は、誘電体材料層200の表面200A上に金属酸化物膜206を選択的に形成するためにエッチングプロセスを用いて除去しうる。エッチングプロセスは、乾式エッチングプロセス、湿式エッチングプロセス、またはそれらの組合せを含みうる。一例では、エッチングプロセスは、原子層エッチング(ALE)プロセスを含みうる。図2Cに示される得られた基材2は、誘電体材料層200の表面200A上に選択的に形成された金属酸化物膜206を有する。
図3Aおよび3Bは、本発明の実施形態に従って基材上に選択的に堆積されたHfO膜の断面のTEM画像を示す。図3Aは、本発明の実施形態に従ってSiO層上に選択的に堆積されたHfO膜の明視野TEM画像を示している。基材は、W金属層と、SiO層からW金属層を分離するTiN拡散障壁層と、を含有していた。基材は、SiO層の下にSiN層およびSiN層の下にベースSiO層をさらに含有していた。基材は、ペルフルオロデシルトリクロロシランの飽和暴露を用いて前処理した。基材表面は、ペルフルオロデシルトリクロロシラン暴露の前に汚染物質をなんら除去しなかったので、おそらくW金属層の酸化表面を含有していた。HfO膜は、ハフニウム含有前駆体と酸化剤との交互暴露の20回の堆積サイクルを用いてALDにより堆積した。図3Bは、より重い元素(W、Hf)がより軽い元素(Ti、Si)よりも明るく見える図3Aの基材の暗視野TEM画像を示す。図3Aおよび3Bは、ペルフルオロデシルトリクロロシラン前処理および20回のHfO ALD堆積サイクルを用いた場合、HfO膜がW金属層と比べて選択的にSiO層上に堆積されたことを示している。
図4Aは、本発明の実施形態に従って基材上に選択的に堆積されたHfO膜の断面のTEM画像を示し、図4B〜4Gは、それぞれ、図4Aの基材に対するTi、W、N、Si、O、およびHfの元素マップを示す。図4Gは、HfO膜がW金属層と比べて選択的にSiO層上に堆積されたことを明確に示している。
図5Aおよび5Bは、本発明の実施形態に従って基材上に選択的に堆積されたHfO膜の断面のTEM画像を示す。基材は、図3Aに関連して以上に記載した。ペルフルオロデシルトリクロロシラン前処理後、HfO膜は、20回の堆積サイクル(図5A)および40回の堆積サイクル(図5B)を用いてALDにより堆積した。図5Aおよび5Bの暗視野TEM画像は、HfO核がW金属層上に現われ始める約40回のHfO堆積サイクルまでHfO膜がSiO層上に選択的に堆積されることを示す。SiO層上のHfO膜の厚さは、40回のHfO堆積サイクルの後、約2.5〜3nmであった。
図5Cは、ブランケットHfO膜を含有する基材の断面のTEM画像を示す。ブランケットHfO膜は、ペルフルオロデシルトリクロロシラン前処理を省略して40回のHfO堆積サイクルを用いて堆積した。図5A〜5Cの結果の比較から、1)ペルフルオロデシルトリクロロシラン前処理を用いないと、HfO膜はSiO層の表面上およびW金属層の表面上の両方に非選択的に堆積され、2)ペルフルオロデシルトリクロロシラン前処理を行うと、W金属層上へのHfO堆積のインキュベーション時間がSiO層上よりも長くなるので、SiO層の表面上への選択的HfO膜堆積が可能になることが示される。
図6Aおよび6Bは、本発明の実施形態に従って基材上に選択的に堆積されたAl膜の断面のTEM画像を示す。図6Aは、本発明の実施形態に従ってSiO層上に選択的に堆積されたAl膜の明視野TEM画像を示している。図6Aおよび6Bの基材は、W金属層と、SiO層からW金属層を分離するTiN拡散障壁層と、を含有していた。基材は、SiO層の下にSiN層およびSiN層の下にベースSiO層をさらに含有していた。基材は、ペルフルオロデシルトリクロロシランの飽和暴露を用いて前処理した。基材表面は、ペルフルオロデシルトリクロロシラン暴露の前に汚染物質をなんら除去しなかったので、おそらく酸化W金属層を含有していた。Al膜は、アルミニウム含有前駆体と酸化剤との交互暴露の40回の堆積サイクルを用いてALDにより堆積した。図6Bは、図6Aの基材の暗視野TEM画像を示す。Alは軽い元素であるので、SiO層上のAl膜は、図3BのSiO層上のHfO層ほどはっきりと見えない。
図7Aおよび7Bは、本発明の実施形態に従って基材上に選択的に堆積されたAl膜の断面のTEM画像を示す。基材は、ペルフルオロデシルトリクロロシランの飽和暴露を用いて前処理し、Al膜は、アルミニウム含有前駆体と酸化剤との交互暴露の20回の堆積サイクルを用いてALDにより堆積した。
図8Aおよび8Bは、本発明の実施形態に従って基材上に選択的に堆積されたAl膜の断面のTEM画像を示す。基材は、ペルフルオロデシルトリクロロシランの飽和暴露を用いて前処理し、Al膜は、アルミニウム含有前駆体と酸化剤との交互暴露の40回の堆積サイクルを用いてALDにより堆積した。
図9Aおよび9Bは、ブランケットAl膜を含有する基材の断面のTEM画像を示す。基材は、ペルフルオロデシルトリクロロシランを用いて前処理せず、Al膜は、アルミニウム含有前駆体と酸化剤との交互暴露の40回の堆積サイクルを用いてALDにより堆積した。図7〜9の比較から、1)ペルフルオロデシルトリクロロシラン前処理を用いないと、Al膜はSiO層上およびW金属層上の両方に非選択的に堆積され、2)ペルフルオロデシルトリクロロシラン前処理を行うと、W金属層上へのAl堆積のインキュベーション時間がSiO層上よりも長くなるので、SiO層の表面上への選択的Al膜堆積が可能になることが示される。
図10A〜10Bは、本発明の実施形態に従って基材を加工する方法の概略断面図示す。図1Bの基材1は図10Aの基材10として再現された。基材10は、誘電体材料層100の表面100A上に選択的に堆積または形成された金属酸化物膜106(たとえばAl)を含有する。
図10Bは、金属酸化物膜106上に選択的に堆積されたSiO膜108の概略断面図を示す。選択的SiO堆積は、酸化剤や加水分解剤をなんら存在させずにシラノールガスを含有するプロセスガスに基材10を暴露することにより行いうる。金属酸化物膜106のこの触媒作用は、SiO膜108が厚さ数nm(厚さ約3nm)になるまで観測可能であり、その後、SiO堆積は自動的に停止する。本発明者らは、選択的SiO堆積に酸化剤や加水分解剤が必要とされないことを発見した。いくつかの例では、プロセスガスはアルゴンなどの不活性ガスをさらに含有しうる。一実施形態では、プロセスガスはシラノールガスと不活性ガスとからなりうる。一例では、シラノールガスは、トリス(tert−ペントキシ)シラノール、トリス(tert−ブトキシ)シラノール、およびビス(tert−ブトキシ)(イソプロポキシ)シラノールからなる群から選択しうる。
さらに、実施形態によれば、基材温度は暴露時約150℃以下でありうる。他の実施形態では、基材温度は約120℃以下でありうる。さらに他の実施形態では、基材温度は約100℃以下でありうる。
図11A〜11Dは、本発明の実施形態に従って基材を加工する方法の概略断面図を示す。図1Aの基材1は図11Aの基材11として再現された。図11Bは、金属酸化物膜106上に選択的に形成された金属含有触媒層109を示す。金属含有触媒層109は、誘電体材料層100の表面100A上および金属層104の表面104A上にセルフアセンブル単層を形成する反応ガス(たとえばペルフルオロデシルトリクロロシラン)に基材11を暴露することにより、その後、金属含有前駆体に基材11を暴露することにより、形成しうる。一例では、金属含有触媒層109は金属含有前駆体の暴露時に酸化環境に暴露されない。金属含有前駆体の例は、アルミニウム(Al)およびチタン(Ti)を含む。一例では、金属含有前駆体はAlMeを含有可能である。
選択的SiO膜堆積は、金属層104の表面104A上ではなく金属含有触媒層109上へのSiO膜110の選択的堆積を触媒するように金属含有触媒層109を利用することにより行いうる。
選択的SiO堆積は、酸化剤や加水分解剤をなんら存在させずにシラノールガスを含有するプロセスガスに基材11を暴露することにより行いうる。いくつかの例では、プロセスガスはアルゴンなどの不活性ガスをさらに含有しうる。一実施形態では、プロセスガスはシラノールガスと不活性ガスとからなりうる。さらに、一実施形態によれば、基材温度は暴露時約150℃以下でありうる。他の実施形態では、基材温度は約120℃以下でありうる。さらに他の実施形態では、基材温度は約100℃以下でありうる。
この触媒作用は、SiO膜110が厚さ数nm(厚さ約3nm)になるまで観測され、その後、SiO堆積は自動的に停止する。金属含有触媒層109の選択的形成およびそれに続くSiO膜110の選択的堆積は、1回以上反復しうる。一例では、この工程は約40回反復しうる。その後は金属層104上への金属含有触媒の堆積が起こるので、選択的SiO堆積が損なわれる。このおよその反復数は、ペルフルオロデシルトリクロロシラン前処理SiO層上へのAl2O3膜の選択的堆積が約40回のALD堆積サイクルまで観測された図8Aおよび8Bの結果に基づく。
表面前処理を用いた選択的膜堆積方法を種々の実施形態で開示してきた。本発明の実施形態の以上の説明は、例示および説明を目的に提示されてきた。網羅したり本発明を開示された詳細な形態に限定したりすることを意図するものではない。本明細書および以下の特許請求の範囲には、説明のみを目的として用いれ限定的とみなすべきでない用語が含まれる。関連技術分野の当業者であれば、以上の教示に照らして多くの修正および変更が可能であることは理解できよう。当業者であれば、図に示された種々の成分の種々の等価な組合せおよび置換は理解されよう。したがって、本発明の範囲は、本詳細な説明により限定されるのではなく本明細書に添付された特許請求の範囲により限定されることが意図される。
1 基材
2 基材
10 基材
11 基材
100 誘電体材料層
100A 誘電体材料層の表面
102 拡散障壁層
102A 拡散障壁層の表面
104 金属層
104A 金属層の表面
106 金属酸化物膜
108 SiO
109 金属含有触媒層
110 SiO
200 誘電体材料
200A 誘電体材料の表面
202 拡散障壁層
202A 拡散障壁層の表面
204 金属層
204A 金属層の表面
206 金属酸化物膜
207 金属酸化物核

Claims (20)

  1. 誘電体層と金属層とを含有する基材を提供する工程と、
    前記基材上にセルフアセンブル単層(SAM)を形成する分子を含有する反応ガスに前記基材を暴露する工程と、
    その後、堆積ガスに前記基材を暴露することにより前記金属層の表面と比べて選択的に前記誘電体層の表面上に金属酸化物膜を堆積する工程と
    を含む、基材の加工方法。
  2. 前記金属層が、Cu、Al、Ta、Ti、W、Ru、Co、Ni、またはMoを含有する、請求項1に記載の方法。
  3. 前記反応ガスへの前記基材の暴露前または暴露時に前記金属層の表面を酸化する工程をさらに含む、請求項1に記載の方法。
  4. 前記分子がヘッド基とテール基と機能性末端基とを含み、かつ前記ヘッド基がチオール、シラン、またはホスホネートを含む、請求項1に記載の方法。
  5. 前記分子が、ペルフルオロデシルトリクロロシラン(CF(CFCHCHSiCl)、ペルフルオロデカンチオール(CF(CFCHCHSH)、クロロデシルジメチルシラン(CH(CHCHSi(CHCl)、またはtertブチル(クロロ)ジメチルシラン((CHCSi(Cl)(CH)を含む、請求項1に記載の方法。
  6. 前記金属酸化物膜が、HfO、ZrO、またはAlを含有する、請求項1に記載の方法。
  7. 前記SAMの密度が前記誘電体層の表面上よりも前記金属層の表面上の方が大きい、請求項1に記載の方法。
  8. 前記堆積ガスに前記基材を暴露することにより前記金属層の表面上に金属酸化物核が形成され、前記方法が、
    前記金属層の表面から前記金属酸化物核をエッチングにより除去する工程
    をさらに含む、請求項1に記載の方法。
  9. 酸化剤や加水分解剤をなんら存在させずに、約150℃以下の基材温度で、シラノールガスを含有するプロセスガスに前記基材を暴露して前記金属層と比べて選択的に前記金属酸化物膜上にコンフォーマルSiO膜を堆積する工程
    をさらに含む、請求項1に記載の方法。
  10. 前記シラノールガスが、トリス(tert−ペントキシ)シラノール、トリス(tert−ブトキシ)シラノール、およびビス(tert−ブトキシ)(イソプロポキシ)シラノールからなる群から選択される、請求項9に記載の方法。
  11. 誘電体層と金属層とを含有する基材を提供する工程と、
    前記基材上にセルフアセンブル単層(SAM)を形成する分子を含有する反応ガスに前記基材を暴露する工程と、
    その後、金属含有前駆体を含有するガスに前記基材を暴露することにより前記金属層の表面と比べて選択的に前記誘電体層の表面上に金属含有触媒層を形成する工程と、
    酸化剤や加水分解剤をなんら存在させずに、約150℃以下の基材温度で、シラノールガスを含有するプロセスガスに前記基材を暴露して前記金属層と比べて選択的に前記金属含有触媒層上にSiO膜を堆積する工程と、
    を含む、基材の加工方法。
  12. 前記金属層が、Cu、Al、Ta、Ti、W、Ru、Co、Ni、またはMoを含有する、請求項11に記載の方法。
  13. 前記反応ガスへの前記基材の暴露前または暴露時に前記金属層の表面を酸化する工程をさらに含む、請求項11に記載の方法。
  14. 前記分子がヘッド基とテール基と機能性末端基とを含み、かつ前記ヘッド基がチオール、シラン、またはホスホネートを含む、請求項11に記載の方法。
  15. 前記分子が、ペルフルオロデシルトリクロロシラン(CF(CFCHCHSiCl)、ペルフルオロデカンチオール(CF(CFCHCHSH)、クロロデシルジメチルシラン(CH(CHCHSi(CHCl)、またはtertブチル(クロロ)ジメチルシラン((CHCSi(Cl)(CH)を含む、請求項11に記載の方法。
  16. 前記金属含有前駆体がアルミニウム(Al)またはチタン(Ti)を含む、請求項11に記載の方法。
  17. 前記金属含有前駆体がAlMeを含む、請求項11に記載の方法。
  18. 前記SAMの密度が前記誘電体層の表面上よりも前記金属層の表面上の方が大きい、請求項11に記載の方法。
  19. 前記金属含有前駆体を含有するガスに前記基材を暴露することにより前記金属層の表面上に金属含有核が形成され、前記方法が、
    前記金属層の表面から前記金属含有核をエッチングにより除去する工程
    をさらに含む、請求項11に記載の方法。
  20. 前記シラノールガスが、トリス(tert−ペントキシ)シラノール、トリス(tert−ブトキシ)シラノール、およびビス(tert−ブトキシ)(イソプロポキシ)シラノールからなる群から選択される、請求項11に記載の方法。
JP2017175487A 2016-09-13 2017-09-13 セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積 Active JP7330664B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662394129P 2016-09-13 2016-09-13
US62/394,129 2016-09-13

Publications (2)

Publication Number Publication Date
JP2018046279A true JP2018046279A (ja) 2018-03-22
JP7330664B2 JP7330664B2 (ja) 2023-08-22

Family

ID=61560330

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017175487A Active JP7330664B2 (ja) 2016-09-13 2017-09-13 セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積

Country Status (3)

Country Link
US (1) US10068764B2 (ja)
JP (1) JP7330664B2 (ja)
KR (1) KR102405720B1 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020184212A1 (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 成膜方法
WO2020189509A1 (ja) * 2019-03-20 2020-09-24 東京エレクトロン株式会社 成膜方法および成膜装置
JP2020158805A (ja) * 2019-03-25 2020-10-01 東京エレクトロン株式会社 成膜方法および成膜装置
KR20200112671A (ko) * 2019-03-20 2020-10-05 가부시키가이샤 스크린 홀딩스 기판 처리 방법 및 기판 처리 장치
KR20200132998A (ko) * 2018-04-13 2020-11-25 어플라이드 머티어리얼스, 인코포레이티드 선택적 원자 층 증착 방법들
WO2022070909A1 (ja) * 2020-09-29 2022-04-07 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2022097539A1 (ja) * 2020-11-06 2022-05-12 東京エレクトロン株式会社 基板処理方法および基板処理装置
WO2023047918A1 (ja) * 2021-09-27 2023-03-30 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7426978B2 (ja) 2021-12-08 2024-02-02 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2024070825A1 (ja) * 2022-09-28 2024-04-04 東京エレクトロン株式会社 膜形成方法及び基板処理装置

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI739984B (zh) * 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
CN112074939A (zh) * 2018-03-20 2020-12-11 东京毅力科创株式会社 具有集成计量的衬底加工工具及其使用方法
TW201946113A (zh) * 2018-04-27 2019-12-01 日商東京威力科創股份有限公司 用於先進接觸件中之覆蓋層形成的區域選擇性沉積
US10734278B2 (en) * 2018-06-15 2020-08-04 Tokyo Electron Limited Method of protecting low-K layers
US11031287B2 (en) * 2018-06-27 2021-06-08 Tokyo Electron Limited Fully self-aligned via with selective bilayer dielectric regrowth
US10643889B2 (en) * 2018-08-06 2020-05-05 Lam Rasearch Corporation Pre-treatment method to improve selectivity in a selective deposition process
US11915973B2 (en) * 2019-12-10 2024-02-27 Tokyo Electron Limited Self-assembled monolayers as sacrificial capping layers
US11205591B2 (en) * 2020-01-09 2021-12-21 International Business Machines Corporation Top via interconnect with self-aligned barrier layer
KR20220137707A (ko) * 2020-02-04 2022-10-12 메르크 파텐트 게엠베하 금속-함유 필름을 선택적으로 형성하는 방법
JP7353200B2 (ja) * 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
KR20230026385A (ko) * 2020-06-17 2023-02-24 도쿄엘렉트론가부시키가이샤 영역 선택적 증착에서 측방 막 형성을 감소시키는 방법
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US11756790B2 (en) 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer
US20230197508A1 (en) * 2021-12-17 2023-06-22 Applied Materials, Inc. Self-assembled monolayer for selective deposition

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005521792A (ja) * 2002-03-28 2005-07-21 プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ 二酸化珪素ナノラミネートの蒸着
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
JP2010041038A (ja) * 2008-06-27 2010-02-18 Asm America Inc 重要な用途のための二酸化ケイ素の低温熱でのald
JP2013520028A (ja) * 2010-02-17 2013-05-30 エーエスエム アメリカ インコーポレイテッド 蒸着に対する反応部位の不活性化
WO2014111980A1 (ja) * 2013-01-16 2014-07-24 独立行政法人科学技術振興機構 自己組織化膜形成材料として有用なトリプチセン誘導体、その製造方法、それを用いた膜、及びその製造方法
JP2014196568A (ja) * 2004-06-04 2014-10-16 アプライド マイクロストラクチャーズ,インコーポレイテッド 酸化層により接着される多層コーティングの制御された気相堆積
JP2015149434A (ja) * 2014-02-07 2015-08-20 ウシオ電機株式会社 パターン形成体の製造方法
US20160190060A1 (en) * 2013-09-27 2016-06-30 Rami Hourani Forming layers of materials over small regions by selectiv chemical reaction including limiting enchroachment of the layers over adjacent regions
WO2016138284A1 (en) * 2015-02-26 2016-09-01 Applied Materials, Inc. Methods for selective dielectric deposition using self-assembled monolayers

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1840648A1 (en) * 2006-03-31 2007-10-03 Sony Deutschland Gmbh A method of applying a pattern of metal, metal oxide and/or semiconductor material on a substrate
JP6008095B2 (ja) 2012-05-31 2016-10-19 須賀 唯知 チップの表面処理方法、接合方法、及び表面処理装置

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005521792A (ja) * 2002-03-28 2005-07-21 プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ 二酸化珪素ナノラミネートの蒸着
JP2014196568A (ja) * 2004-06-04 2014-10-16 アプライド マイクロストラクチャーズ,インコーポレイテッド 酸化層により接着される多層コーティングの制御された気相堆積
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
JP2010041038A (ja) * 2008-06-27 2010-02-18 Asm America Inc 重要な用途のための二酸化ケイ素の低温熱でのald
JP2013520028A (ja) * 2010-02-17 2013-05-30 エーエスエム アメリカ インコーポレイテッド 蒸着に対する反応部位の不活性化
WO2014111980A1 (ja) * 2013-01-16 2014-07-24 独立行政法人科学技術振興機構 自己組織化膜形成材料として有用なトリプチセン誘導体、その製造方法、それを用いた膜、及びその製造方法
US20160190060A1 (en) * 2013-09-27 2016-06-30 Rami Hourani Forming layers of materials over small regions by selectiv chemical reaction including limiting enchroachment of the layers over adjacent regions
JP2015149434A (ja) * 2014-02-07 2015-08-20 ウシオ電機株式会社 パターン形成体の製造方法
WO2016138284A1 (en) * 2015-02-26 2016-09-01 Applied Materials, Inc. Methods for selective dielectric deposition using self-assembled monolayers

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102515131B1 (ko) 2018-04-13 2023-03-29 어플라이드 머티어리얼스, 인코포레이티드 선택적 원자 층 증착 방법들
KR102652331B1 (ko) 2018-04-13 2024-03-29 어플라이드 머티어리얼스, 인코포레이티드 선택적 원자 층 증착 방법들
KR20230048444A (ko) * 2018-04-13 2023-04-11 어플라이드 머티어리얼스, 인코포레이티드 선택적 원자 층 증착 방법들
JP2022091739A (ja) * 2018-04-13 2022-06-21 アプライド マテリアルズ インコーポレイテッド 選択的原子層堆積方法
KR20200132998A (ko) * 2018-04-13 2020-11-25 어플라이드 머티어리얼스, 인코포레이티드 선택적 원자 층 증착 방법들
JP2021521639A (ja) * 2018-04-13 2021-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 選択的原子層堆積方法
JP2020147788A (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 成膜方法
JP7109397B2 (ja) 2019-03-13 2022-07-29 東京エレクトロン株式会社 成膜方法
WO2020184212A1 (ja) * 2019-03-13 2020-09-17 東京エレクトロン株式会社 成膜方法
JP2020152976A (ja) * 2019-03-20 2020-09-24 東京エレクトロン株式会社 成膜方法および成膜装置
JP7195190B2 (ja) 2019-03-20 2022-12-23 東京エレクトロン株式会社 成膜方法および成膜装置
KR102400360B1 (ko) 2019-03-20 2022-05-20 가부시키가이샤 스크린 홀딩스 기판 처리 방법 및 기판 처리 장치
KR20200112671A (ko) * 2019-03-20 2020-10-05 가부시키가이샤 스크린 홀딩스 기판 처리 방법 및 기판 처리 장치
WO2020189509A1 (ja) * 2019-03-20 2020-09-24 東京エレクトロン株式会社 成膜方法および成膜装置
US11410853B2 (en) 2019-03-20 2022-08-09 SCREEN Holdings Co., Ltd. Substrate processing method and substrate processing device
JP7118023B2 (ja) 2019-03-25 2022-08-15 東京エレクトロン株式会社 成膜方法および成膜装置
WO2020195903A1 (ja) * 2019-03-25 2020-10-01 東京エレクトロン株式会社 成膜方法および成膜装置
JP2020158805A (ja) * 2019-03-25 2020-10-01 東京エレクトロン株式会社 成膜方法および成膜装置
WO2022070909A1 (ja) * 2020-09-29 2022-04-07 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2022097539A1 (ja) * 2020-11-06 2022-05-12 東京エレクトロン株式会社 基板処理方法および基板処理装置
WO2023047918A1 (ja) * 2021-09-27 2023-03-30 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7426978B2 (ja) 2021-12-08 2024-02-02 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2024070825A1 (ja) * 2022-09-28 2024-04-04 東京エレクトロン株式会社 膜形成方法及び基板処理装置

Also Published As

Publication number Publication date
KR102405720B1 (ko) 2022-06-03
JP7330664B2 (ja) 2023-08-22
US20180076027A1 (en) 2018-03-15
KR20180029934A (ko) 2018-03-21
US10068764B2 (en) 2018-09-04

Similar Documents

Publication Publication Date Title
JP7330664B2 (ja) セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積
Vervuurt et al. Atomic layer deposition for graphene device integration
TWI772516B (zh) 藉由化學蝕刻去除選擇性沉積缺陷
US11804376B2 (en) Method for mitigating lateral film growth in area selective deposition
US11515155B2 (en) Methods for enhancing selectivity in SAM-based selective deposition
JP7406684B2 (ja) 半導体デバイス内の凹状特徴部を低抵抗率金属で充填する方法
TW201833362A (zh) 就圖案化應用進行選擇性沉積之方案
JP2009538808A (ja) カーボンナノチューブの気相官能化
JP2021521639A (ja) 選択的原子層堆積方法
US20200328078A1 (en) Integrated in-situ dry surface preparation and area selective film deposition
US20210398846A1 (en) Method for area selective deposition using a surface cleaning process
US8507030B2 (en) Method of fabricating metal oxide film on carbon nanotube and method of fabricating carbon nanotube transistor using the same
US20210398849A1 (en) Method for reducing lateral film formation in area selective deposition
KR102545882B1 (ko) 역행 프로파일들을 갖는 리세스된 피처들을 보이드 없이 충전하는 방법
JP2023103303A (ja) 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積
JP2020200394A (ja) グラフェンナノリボンネットワーク膜、グラフェンナノリボンネットワーク膜の製造方法、電子装置及び電子装置の製造方法
US20220139776A1 (en) Method for filling recessed features in semiconductor devices with a low-resistivity metal
TWI835883B (zh) 以低電阻率金屬填充半導體元件中之凹陷特徵部的方法
US20220310385A1 (en) Atomic layer deposition of aluminum oxide films for semiconductor devices using an aluminum alkoxide oxidizer

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200908

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210714

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210720

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210917

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20211116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220216

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20220216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220221

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20220228

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20220301

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20220415

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20220419

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20220809

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20220906

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20221108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230110

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20230404

C302 Record of communication

Free format text: JAPANESE INTERMEDIATE CODE: C302

Effective date: 20230426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230615

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230809

R150 Certificate of patent or registration of utility model

Ref document number: 7330664

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150