JP2005521792A - 二酸化珪素ナノラミネートの蒸着 - Google Patents

二酸化珪素ナノラミネートの蒸着 Download PDF

Info

Publication number
JP2005521792A
JP2005521792A JP2003580596A JP2003580596A JP2005521792A JP 2005521792 A JP2005521792 A JP 2005521792A JP 2003580596 A JP2003580596 A JP 2003580596A JP 2003580596 A JP2003580596 A JP 2003580596A JP 2005521792 A JP2005521792 A JP 2005521792A
Authority
JP
Japan
Prior art keywords
substrate
metal
vapor
silica
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003580596A
Other languages
English (en)
Other versions
JP2005521792A5 (ja
JP4959921B2 (ja
Inventor
ジー. ゴードン,ロイ
ハウスマン,デニス
ベッカー,ジル
Original Assignee
プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ filed Critical プレジデント・アンド・フェロウズ・オブ・ハーバード・カレッジ
Publication of JP2005521792A publication Critical patent/JP2005521792A/ja
Publication of JP2005521792A5 publication Critical patent/JP2005521792A5/ja
Application granted granted Critical
Publication of JP4959921B2 publication Critical patent/JP4959921B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本発明は、固体基板上に薄フィルムを蒸着させるための材料及び方法に関する。アルミニウム含有化合物とシラノールとの反応により、加熱した基板上にシリカ/アルミナナノラミネートを蒸着させる。このナノラミネートは厚みが均一であり、40:1を超えたアスペクト比を有する穴を覆うことができる。このフィルムは透明であり、絶縁性に優れている。本発明はまた、マイクロエレクトロニックデバイス中の電気導体の絶縁に用いられる改良された多孔質誘電材料を製造するための材料及び方法にも関し、特に表面多孔性が大きく低下されると同時に内部多孔性が望ましいk値を維持するように保たれる半多孔背いつ誘電材料を製造するための材料及び方法に関する。本発明は低k誘電材料によりせまい溝を選択的に埋めるとともに、この溝の外部の表面領域に誘電材料が付着することを防ぐために用いられる。

Description

連邦政府後援研究に関する記述
本発明は、全米科学財団補助金第ECS−9975504号での米国政府の後援を受けてなされた。米国は発明における一部の権利を有することが可能である。
本発明は、固体基板上の薄膜蒸着、および特に選択的な薄膜蒸着のための材料および方法に関する。
本発明は、また、マイクロエレクトロニクスおよび光学の分野におけるデバイスの製造のための絶縁保護膜を作製するための方法および材料に関する。
本発明は、また、マイクロエレクトロニクス・デバイスにおける導電体の絶縁に用いられる改善された多孔質誘電体を製造するための材料および方法に関する。
原子層蒸着(原子層エピタキシーとしても知られる)は、二つの蒸気前駆体から固体材料の薄層を蒸着するための方法である。膜がその上に蒸着しようとする基板の表面は、一つの前駆体からの蒸気投与量にさらされて単一層を蒸着し、次に、その前駆体からのあらゆる過剰の未反応蒸気はポンプで排出される。次に、第二前駆体の蒸気投与量が表面に持ち込まれ、第一前駆体の単一層と反応することを可能とする。この段階のサイクルは繰り返されてより厚い膜を積み重ねることができる。本方法の特に重要な一つの態様は、原子層蒸着反応がある最大厚さだけを各サイクルにおいて形成することができ、その後はたとえ過剰の反応物が使用可能だとしても、そのサイクルの間ではさらなる蒸着は起こらないということにおいて自己制御式であることである。この自己制御式特性のせいで、これらの蒸着反応は高度に均一な厚さを有する皮膜を生成する。原子層蒸着膜厚さの均一性は、平坦な基板表面上のみならず狭い穴および溝の中までにも適用される。等角的な膜を生成する原子層蒸着のこの能力は、「高ステップカバレージ」と呼ばれる。
既存の原子層蒸着法の一つの欠点は、一般にサイクル当り0.1ナノメートル(nm)未満でのその遅い蒸着速度である。原子層蒸着に関する一般に認められた理論では、蒸着速度がサイクル当り約0.2nmより大きくなることはできないと言われている。これらの遅い速度は、原子層蒸着が大部分の潜在的な用途に対して高価になりすぎることを意味する極めて低い生産性を指す。シリカの原子層蒸着のために前から知られる反応は、反応サイクルを完遂するために1分を超える時間を必要として、特に遅い。S.M.George et al.,Appl.Surf.Sci.82/83,460(1994);W.Gasser,Y.Uchida,M.Matsumura,Thin Solid Films 250,213(1994);S.Morishita,W.Gasser,K.Usami,M.Matumura,J.Non−Crystalline Solids 187,66(1995);K.Yamaguchi,S.Imai,N.Isshitobi,M.Takemoto,H.Miki,M.Matsumura,Appl.Surf.Sci.130〜132,202(1998);J.W.Klaus,O.Sneh,A.W.Ott and S.M.George,Surface Review and Letters 6,435(1999);J.D.Ferguson,A.W.Weimer and S.M.George,Applied Surface Science 162〜163,280(2000);J.D.Ferguson,A.W.Weimer and S.M.George,Chem.Mater.12,3472(2000);J.W.Klaus,S.M.George,Surf.Sci.447,81(2000)を参照すること。
既存の原子層蒸着法の別の欠点は、蒸着膜がすべての暴露表面上に無差別に生成することである。基板マスキングが一部の面で蒸着を避けることが可能である一方で、マスキングを製造工程中に持ち込むことは必ずしも便利でないし、可能でもない。均一な厚さの膜を選択的に蒸着させる能力は、多くのデバイス製造方法において極めて魅力的であろう。
シリカは、過去40年間の大部分にわたりマイクロエレクトロニクスにおいて選択される誘電体であってきた。しかし、マイクロエレクトロニクス・デバイスのサイズが革新的に小さくなってきて、集積回路が大幅にサブミクロンサイズまで小型化されるので、信号伝達遅れ、導体間の電気クロストーク、および電力消費は、寄生容量および抵抗のせいで大きく増大する。トランジスターが縮小し、相互接続配線の全体量が増大するので、その配線における遅れは回路性能に大きな影響を与える。配線が互いに接近し、操作周波数が上昇するので、近接線間のクロストークは信号完全性を低下させることができる。よりよい絶縁体、すなわち、配線または能動素子領域間のより低いk値を有するものは、このノイズを減少させる。従って、従来型シリカの代わりになる低k金属間誘電体に対する強い要望があり続けてきた。k値のさらなる低下(約2.6値未満までの)は、将来のマイクロエレクトロニクス・デバイスのデバイス性能および電力損失要求事項に適合するために必要であると信じられている。
こうした低k値に達することは、一般に、材料密度を減少させるかまたは多孔性を導入するかのいずれかにより、化学結合の密度を低下させることを含む。現在種々の方法が、一般に約1〜約10nm範囲の孔径を有する多孔質な超低k材料を製造するために存在する。多孔質な低k材料の例には、水素シルセスキオキサン、メチル・シルセスキオキサン、エーロゲル、キセロゲル、SiCxOHy、SiLK(登録商標)(ダウ・ケミカル(Dow Chemical))、CORAL(登録商標)(ノベラス(Novellus))、ブラック・ダイアモンド(Black Diamond)(登録商標)(アプライド・マテリアルズ(Applied Material))、およびCVD−蒸着メチルシラン、などが挙げられる。これらの材料はおよそ1.2グラム/cc以下の密度を有する。
これらの低k多孔質誘電体の多孔性は、それらがマイクロエレクトロニクス・デバイス中に組み込まれる場合、特定の構造的な難題を提供する。例えば、孔間の相互接続は、超低k材料を通しての拡散通路を開く。バリア金属層および導電性配線の両方からの金属原子は、多孔質絶縁材料層中に拡散することが可能である。こうした金属原子の多孔質誘電層中への拡散は、他の導電性配線間の過剰の漏洩電流を招くと共に、絶縁層の絶縁性能の破壊を起こすことができる。導電性拡散バリアを低kまたは超低k材料上に蒸着する原子層蒸着などの標準蒸着技術は、孔内部での導電性材料の蒸着をもたらすことが可能であり、低k絶縁体を通して電気短絡を起こすことさえできる。例えば、W.Besling,et al.in Atomic Layer Deposition of Barriers for Interconnect,International Interconnect Technology Conference 2002を参照すること。加えて、一般に、多孔質誘電体の使用から生じる別の問題は、こうした材料において形成される開口部が絶縁材料の多孔性のせいで比較的粗い側面を有することである。これは、開口部を適切な導電性金属で埋めるという問題を生じることが可能であり、望ましくない空洞、隙間または継ぎ目が誘電性および導電性金属の側壁間に生まれることが可能である。
従って、求められていることは、多孔質構造に関係する前述の問題の一部またはすべてを低減させながら、多孔質絶縁材料の望ましい低k属性を持続するための材料または方法である。
低k誘電体がマイクロエレクトロニクス・デバイスにおいて必要とされる別の場所は、一つの電気活性領域を隣接のものから分離する溝の中にある。現在の技術において、シリカは高密度プラズマにより誘発される化学蒸着によりこれらの溝の中に蒸着される。例えば、この蒸着法が6:1未満のアスペクト比(深さ/幅)を有する溝に限定されることを示す米国特許第6,335,288号を参照すること。より狭い溝(より高いアスペクト比)を誘電体、なおさらに有利にはシリカのそれよりも低い誘電率を有する誘電体で埋めるための方法がある場合、デバイスはより小さい面積の珪素上に作製することができよう。
分離溝を埋めるために現在用いられる方法に伴う別の難事は、誘電体が、また、溝間の平面の上部に蒸着することである。次に、上部のこの材料は、化学機械的研磨(CMP)と呼ばれる工程により除去されなければならない。CMPは溝の真上の領域でより多くの材料を除去する傾向があり、その結果、最終研磨表面は非平面となる(「へこむ」)。CMPの間のへこみは、工程に複雑さと費用を加える追加のフォトリソグラフィ工程の使用により避けることができる。あるいは、追加研磨停止層、犠牲誘電層およびエッチング段階は、へこみを避けるために用いることができる。米国特許第6,342,432号を参照すること。溝の外部の表面上の誘電体の望ましくない蒸着を避ける一方で、溝を埋めることができることは、極めて有利であろう。
分離溝の内部に蒸着する材料の別の機能は、誘電体を通してホウ素および酸素の望ましくない拡散を防止することである。これらの元素がシリカを通して容易に拡散するので、窒化珪素の層は、多くの場合、拡散バリアとして溝の内部で必要とされる。拡散を防止するための追加の窒化珪素層使用説明用の米国特許第6,339,004号および米国特許出願第2002/0004281号を参照すること。低k誘電体それ自体がホウ素、酸素または他の望ましくない元素の拡散に対する十分なバリアである工程を有することは、有利であろう。
本発明の一つの態様は、固体基板上に、皮膜、および1以上の実施形態において絶縁保護皮膜、特に主として二酸化珪素から作製されるものを蒸着するための急速工程を含む。これらの皮膜は、珪素、酸素および比較的小量の第2金属または半金属を含み、場合により、炭素および水素、および比較的少量の他の元素(例えば、ドーパント)を含有することが可能である。本明細書において用いられる本発明の皮膜は、「シリカ」または「シリカ・ナノラミネート」と呼ばれる。
本発明の方法および材料の別の態様は、極めて均一な厚さおよび/または極めて滑らかな表面を有するシリカ層が得られることである。一部の実施形態において、反応は、穴または溝を含むことが可能である基板上に膜を形成するやり方で行うことが可能である。皮膜は、また、粉末、ワイヤー上、または複雑な機械構造の周囲または内部に置くことが可能である。本発明の1以上の実施形態下でシリカ膜の蒸着は、基板と蒸着シリカ間の鋭い界面を造りだす。本発明の別の形態は、実質的にピンホールまたは他の機械的欠陥のないシリカ皮膜の調製である。
一つの態様において、本発明は、少なくとも一つのサイクルが、形成しようとする薄膜の少なくとも一つの元素を含む第一反応化合物の蒸気に基板をさらすことを含むと共に、少なくとも一部の第1反応物質が基板の表面上に吸着される、1以上の蒸着サイクルを含む連続交互層蒸着工程により薄膜を生成するための方法を提供する。第1反応化合物には、ルイス酸特性を有する金属または半金属が挙げられる。本サイクルは、また、その後、形成しようとする薄膜に少なくとも珪素および酸素を供給するシラノール含有反応物質の蒸気に、加熱基板をさらすことを含み、基板表面上に吸着される第1反応化合物はシラノール含有反応物質と反応して、第1反応化合物が吸着される場所で基板表面を被覆する材料を形成する。なお別の実施形態において、本方法は、さらに、リン酸塩化合物を含む第3反応物質の蒸気に基板をさらすことを含む。連続交互層蒸着工程は、少なくとも1回の蒸着サイクルの間に2nmより大きい厚さを有するシリカ層を蒸着する。
本明細書において用いられる「シラノール」は、1以上のヒドロキシル(OH)基に結合される珪素原子を有する化合物の部類を指す;シラノールはアルコキシシラノール、アルコキシアルキルシラノールおよびアルコキシシランジオールを含む。
本発明の1以上の実施形態により、第1反応化合物は、ルイス酸特性を有する金属または半金属化合物である。金属または半金属化合物は金属アミド、アルキル金属、金属アルコキシドまたは金属ハロゲン化物を含むことができ、金属または半金属はアルミニウム、ホウ素、マグネシウム、スカンジウム、ランタン、イットリウム、ジルコニウムまたはハフニウムを含むことができる。
1以上の実施形態において、ルイス酸金属または半金属化合物は、シラノール中のわずかに酸性のプロトンと容易に反応するアルミニウム化合物を含む。これらの酸性プロトンは、シラノール中の酸素に直接結合されるものである。一般にこれらの酸性プロトンと反応するアルミニウム化合物には、ほとんどのアルキルアルミニウムおよび他の有機アルミニウム化合物、アルミニウム・アルキルアミド、アルミニウム・アルコキシドおよびアルミニウム・ハロゲン化物が挙げられる。アルミニウム化合物はアルミニウム−窒素結合を含むことが可能である。場合により、1以上の実施形態において、本方法は、さらに、(アルキル)リン酸塩化合物を含んで3元またはドープ化合物を形成するリン酸塩化合物に基板をさらすことを含む。
本発明の1以上の実施形態により、高度に均一なシリカ膜の蒸着は、反応器内の反応物質の濃度および基板の位置などの条件の範囲にわたり達成される。本発明は、比較的低温度で、且つ基板へのプラズマ損傷なしで基板を被覆する能力を含む。珪素源は空気および水中で安定していて、比較的無害である。
本発明の1以上の実施形態において、シリカ/アルミナ・ナノラミネート材料は、複数のサイクルにわたり、加熱基板の表面上での1以上のアルミニウム化合物の蒸気とシラノール化合物の蒸気の連続反応を含む交互層蒸着工程から製造される。各サイクルは、基板の表面に対して等角である均一厚さのシリカ/アルミナ材料の皮膜を生成する。1以上の実施形態において、各反応サイクルは、20オングストロームより大きい厚さを有する材料の皮膜を生成する。
本発明の1以上の実施形態において、狭い穴、溝または他の構造物などの基板形態を覆ってシリカの絶縁保護皮膜が提供される。この能力は、「高ステップカバレージ」として一般に知られる。1以上の実施形態において、基板は深い穴または狭い溝などの渦巻き型の構造を含み、本方法は表面形体の側壁および基礎に沿って均一で等角な膜を生成する。
本発明の1以上の実施形態において、皮膜は選択的に基板の一部、形体または領域上に蒸着する。皮膜材料の選択的な蒸着は、基板表面における穴または溝の選択的埋め込み用、または、内部気孔率が全体誘電体用の望ましい低k値を維持するために保持されながら、有意に減少したまたは全くない表面気孔率を有する半多孔質の誘電体を提供するための低誘電率(低k)材料の蒸着用に用いられる。
1以上の実施形態において、シリカ層は、交互層蒸着工程において、選択的に基板上に蒸着する。基板は1以上のアルミニウム化合物の蒸気にさらされて、選択的にアルミニウム含有層を蒸着する。選択的蒸着は、マスキングにより、次に、マスクの上端のアルミニウムと一緒にマスクを選択的にエッチングすることにより達成することが可能である。1以上の実施形態において、本方法は、さらに、シラノール化合物を蒸着する段階の前に、アルミニウム含有材料が穴または溝の内部表面上で吸着されて残っているように穴または溝に隣接する基板の外表面上に蒸着しているアルミニウム含有材料を除去する段階を含む。次に、基板は、アルミニウム含有化合物と反応して、アルミニウム含有化合物が蒸着していた領域中のみに選択的にシリカ/アルミナ層を蒸着するシラノール化合物の蒸気にさらされる。
別の実施形態において、アルミニウム金属の領域を有する基板(その表面上に通常の自然酸化アルミニウムを有する)は、シラノール蒸気にさらされ、それによってシリカを隣の非触媒性表面上ではなくアルミニウム表面上に蒸着させる。
本発明の別の態様において、集積回路は、複数の隣接能動素子領域、隣接素子領域間の半導体基板内に埋め込まれる溝;および溝を等角的に且つ空隙なしに埋めるシリカ・ナノラミネートを有する半導体基板を含んで提供され、シリカ・ナノラミネートは約2〜15nm範囲の厚さを有し、アルミナは約0.1nm以上の厚さを有する。
本発明の別の態様において、基板上の表面開口部、側壁および内部空間により定義される少なくとも一つの孔を有する基板の外表面上に存在する孔を密封するための方法が提供される。本方法は、第1触媒材料を基板の外表面上に蒸着させ、基板および触媒材料を第2反応化合物の蒸気にさらし、第1触媒材料および第2反応化合物が反応して、少なくとも孔の一部を空隙として内部に残しながら孔の表面開口部を覆う薄いフィルムを形成する段階を含む。
一般に、孔は約5〜10nm未満の径を有する;しかし、約30nm径以下の孔は、本発明の1以上の実施形態により単一の蒸着サイクルにおいて密封することができる。
1以上の実施形態において、本方法は、さらに、第2反応材料を蒸着する段階の前に、触媒材料が孔開口部の少なくとも一つの側壁の少なくとも一部上に残るように、基板の外表面上に蒸着してしまった触媒材料を除去する段階を含む。1以上の実施形態において、蒸着触媒材料は、反応性イオン・エッチングにより選択的に除去される。
1以上の実施形態において、第1触媒材料は、アルキルアミド、アルキル、アルコキシドまたはハロゲン化物に限定されないがそれらを含むアルミニウム化合物であり、第2反応化合物は、シラノールであり、蒸着膜はシリカを含む。1以上の実施形態において、膜は純粋シリカのそれ以下の誘電率を有する。
1以上の実施形態において、第1触媒材料は、外表面に近接した孔の領域が優先的に第1触媒材料により被覆されるように、蒸着条件下で、または低ステップカバレージを有する蒸着技術を用いて蒸着される。第1触媒材料は、スパッタリング、蒸発および化学蒸着に限定されないがそれらを含む蒸着法を用いて蒸着される。
本発明の別の態様において、少なくとも一つの側壁および内部空間により定義される少なくとも一つの開口部を含む、外表面を有する第1多孔質誘電層を含む集積回路デバイスが提供される。デバイスは、また、第1誘電体の内部空間の少なくとも一部を空隙として残すために、第1誘電層の開口部と密封接触する第2誘電体を含む。最終的に、デバイスは、第2誘電層上に蒸着され、および第1誘電層の外表面にさらされる導電性配線を含む。
本発明の別の態様は、複数の隣接能動素子領域を有する半導体基板、隣接素子領域間の半導体基板内に埋め込まれる溝、および等角的に且つ空隙領域なしで開口部を埋めるシリカ・ナノラミネート材料を有する集積回路を含み、シリカ・ナノラミネートは約2〜20nm範囲にある厚さを有するシリカと約0.1nm以上の厚さを有するアルミナの交互層を含む。
本発明の実施は、マイクロエレクトロニクスにおける半導体間の溝絶縁を満たし、半導体メモリー中の深溝キャパシタのカラーを絶縁し、マイクロエレクトロニック・デバイス中の金属ワイヤー間の絶縁を形成し、電子デバイス用の低k半多孔質絶縁体を密封し、平面導波、マルチプレクサ/デマルチプレクサ、マイクロエレクトロメカニカル構造物(MEMS)、多層光学フィルター、および拡散、酸化、または腐食に対する保護層を作製することなど多くのデバイスの製造を容易にする。
1. シリカ・ナノラミネート
本発明は、珪素、酸素および第2金属または半金属を含むシリカ・ナノラミネート材料のための方法を提供する。本方法は、加熱基板の表面上での、1以上の金属または半金属化合物の蒸気とシラノール蒸気との反応を含む。混合蒸気が加熱表面に一緒に持ち込まれる場合、化学蒸着法は、珪素、酸素および第2金属または半金属を含む均一層を形成する。
シリカ・ナノラミネート材料は、以後交互層蒸着法と呼ばれる原子層蒸着法の適応を用いて形成することが可能である。本発明の方法は、単一「原子」層よりも一段と厚い層が各サイクルで形成されるので、「交互層蒸着」と呼ばれる。交互層蒸着法において、蒸気は単一層以下が化学吸着されてしまうまで表面と反応する。次に、反応は停止する、それ故に、本方法は「自己制御式」である。次に、第2蒸気は、第1層上に多くの原子が厚くのることが可能である材料の第2層を蒸着する第2自己制御式反応において、この表面と反応する。本明細書において以下により詳細に検討されるように、第2層は数ナノメートル(nm)厚さであることができる。2nmを超える、または5nmを超える、または10nmを超える、または12nmを超える、または15nmを超える層は、単一の蒸着サイクルにおいて蒸着することができる。第2反応は、また、表面を、それが第1反応物質とすぐに反応する状態に戻す。次に、反応サイクルは繰り返されて、次から次へと2元化合物層を積み重ねることができる。本方法が第1および第2反応物質の蒸着の間を交互に繰り返すので、積層構造は第1反応物質の(およびまた場合により第2反応物質の)元素を含有する層、および第2反応物質の主元素を含有する層の交互層を含んで形成される。第2層は、また、第1層よりも数倍厚い。第1材料が実質的に単一層として置かれ、なお一段と厚い第2層(40単一層までを含む)の蒸着を促進するので、第1材料は「触媒」材料または層と呼ばれる。
交互層蒸着は、原子レベルでの化学量論の制御に加えて多くの他の注目すべき可能性を提供する。膜の厚さは反応サイクルの数を計算することによりディジタル的に設定することができると共に、反応領域において蒸気の非均一分布により引き起こされる変動に対して実質的に影響を受けない。均一な厚さの層は、大きな面上および内部の極めて狭い穴などの回旋状の構造の上にも容易に蒸着することができる。
本発明の1以上の実施形態により、基板は、交互に、1以上の反応性触媒化合物および次にアルコキシシラノール、アルコキシアルキルシラノール、またはアルコキシシランジオールの蒸気にさらされる。反応性化合物には、アルミニウム、ホウ素、マグネシウム、スカンジウム、ランタン、イットリウム、ジルコニウム、およびハフニウムを含有する化合物に限定されないがそれらを含むルイス酸特性を有する金属を挙げることが可能である。1以上の実施形態において、アルミニウム含有化合物は、反応性化合物として役立つ。本発明は、驚くことに、基板がこうした工程にさらされる場合、通常でない厚さのシリカ膜が急速に形成されることを見出してきた。1以上の実施形態において、約5〜15nm範囲の厚さを有するシリカ膜は、単一交互層蒸着サイクルにおいて蒸着する。交互層蒸着反応は、各サイクルにおいて複数の単一層を蒸着することができる。サイクル持続は30秒未満であり、シリカ用のこれまで公知の原子層蒸着反応速度の100倍を超える蒸着速度をもたらすことができる。また、ビス(ジアルキル)リン酸塩の使用が膜にリン含量を提供し、珪素などの材料のリン・ドーピング用供給源としてそれらを有用とすることが見出されてきた。ナノラミネートは、アスペクト比40:1を超える表面特性での極めて均一な厚さと優れたステップカバレージを有する。図6を参照すること。
これらの膜の組成は、化学量論的二酸化珪素、および一般に1原子%、または約0.6原子%未満の低レベルアルミニウムである。炭素は、一般に、極めて低いレベル(0.3原子%未満)で存在する。膜はX線および電子線回折により非晶質である。膜密度は高く、例えば、バルク融解(非晶質)シリカの値の90%を超える。これらの絶縁保護膜の構造は、アルミナの極めて薄い層がシリカのより厚い層の間に散在するナノラミネートとして説明することができる。シリカの多くの光学的、電気的および機械的性質、例えば、屈折率、誘電率および漏れ電流は、少量のアルミナの存在によって少しも変わることはない。しかし、シリカの他の性質、例えば、原子拡散耐性および絶縁破壊電圧は、アルミナの追加的存在から恩恵を受けることが可能である。より高いレベルのアルミナが望まれる場合、シラノール蒸気の各投与量が導入される前に1以上のアルミナ蒸着サイクル(最初にアルミナ含有化合物、次いで水またはアルコール)を繰り返すことは可能である。より高いレベルのアルミナは、熱的に酸化された珪素のエッチング速度によりよく適合しながら、フッ化水素酸によるエッチング速度を低下させることができる。熱的に酸化された層とシリカ・ナノラミネート層両方を有する珪素半導体構造において、これら二つの材料に対してほぼ等しいエッチング速度を有することは、フッ化水素酸におけるエッチングを受けてより滑らかな表面を提供することができる。より大きな百分率のアルミナは、熱膨張率を上げることができ、その結果、ナノラミネートに対する率は珪素のそれに近づくように調整することが可能であり、それによって、ナノラミネート/珪素構造における熱誘導応力を低減する。
蒸着反応は標準装置および技術を用いて行うことができる。加工条件に応じて、以下により詳細に検討される交互層蒸着法は、高度に等角的な膜(広範な用途に望まれている高ステップカバレージを提供するために)、または本発明の一部の実施形態において望まれている多孔質材料の外表面上および/または表面のすぐ近くの孔の内部表面上、または選択的に穴および溝内部の選択的蒸着の両方を提供することが可能である。
2.珪素および酸素を提供する前駆体
本発明の実施における使用のための適するシラノール化合物は、本明細書においてその全体を参考のため包含する公開国際公報第WO02/27063号に提供されている。
少なくとも一部の実施形態において、本明細書において検討されるトリス(アルコキシ)シラノール化合物は一般式1を有し、式中、R1〜R9は、水素、アルキル基、フルオロアルキル基、または好ましくは化合物の揮発度を維持するために選択される他の非金属原子または基により置換されるアルキル基を示し、R1〜R9のいずれもが互いに同じかまたは異なる。一部の実施形態において、R1〜R9基は1〜4間の炭素を含み、同じかまたは異なる。
Figure 2005521792
少なくとも一部の実施形態において、メチル基は上に与えられる一般式1におけるR1〜R9のそれぞれに選択され、(ButO)3SiOHとしてより簡潔に書くことが可能である化合物トリス(t−ブトキシ)シラノール2を得る。
Figure 2005521792
本発明の別の化合物は、さらに簡潔に(AmtO)3SiOHと書くことが可能であるトリス(t−アミロキシ)シラノール3としても知られるトリス(t−ペンチロキシ)シラノールである。
Figure 2005521792
本発明の少なくとも一部の実施形態において、(ButO)2Si(OH)2などのジ(アルコキシ)シランジオールは、それらが少なくとも一部の用途においてトリス(アルコキシ)シラノール化合物よりも一層不安定であるが、また、用いることができる。一般式4を有するジ(アルコキシ)シランジオール化合物は、本発明により用いることが可能であり、式中、R1〜R6は、いずれも、水素、アルキル基、フルオロアルキル基、または好ましくは揮発性および安定性を増強するために選択される他の非金属原子または基により置換されるアルキル基を示し、それらは同じかまたは異なることが可能である。
Figure 2005521792
他の実施形態において、一般式5を有するジ(アルコキシ)アルキルシラノールは、特に、アルキル基R7が蒸着膜中に保持されることが可能であるので、シリカよりも低い誘電率を有する膜の製造に用いられる。
Figure 2005521792
少なくとも一部の実施形態において、一般式1に対するR1〜R9基、一般式4に対するR1〜R6または一般式5に対するR1〜R7基は、水素、メチル、エチル、n−プロピルまたはイソプロピル基からなる群から選択することが可能である。
前述の化合物において、一般式1に対するR1〜R9アルキル基、一般式4に対するR1〜R6または一般式5に対するR1〜R7基は、ある程度の不飽和、例えば、アリール、アルケニルまたはアルキニル基を有する炭化水素であることが可能である。
シラノールおよびシランジオール反応物質は市販されているか、または従来型または公知の技術を用いて調製することが可能である。一つの珪素前駆体、トリス(t−ブトキシ)シラノールは、アルドリッチ・ケミカル(Aldrich Chemical Company)(ウイスコン州、ミルウオーキー)およびゲレスト(Gelest,Inc.)(ペンシルバニア州、タリータウン)から市販されている。トリス(t−ブトキシ)シラノールは以下のように調製することが可能である。最初に、トリス(t−ブトキシ)クロロシランが以下の二つの反応のいずれかにより調製することが可能である:
SiCl4+3ButOH→(ButO)3SiCl+3HCl
(1)
SiCl4+3NaOBut→(ButO)3SiCl+3NaCl
(2)
次に、トリス(t−ブトキシ)クロロシランは以下の反応により加水分解される:
(ButO)3SiCl+H2O→(ButO)3SiOH+HCl
(3)
Becker et al.,Rec.Trav.Chim.,61:500(1942)を参照すること。この加水分解化合物、トリス(t−ブトキシ)シラノールは室温で固体であり、約66℃で融解する。それは、約10-4トールの低圧において室温で昇華し、20トールの圧力において約104℃の温度で蒸留することができる。それはメジチレンまたはテトラデカンなどの有機溶媒中に高度に溶解し、その結果、その蒸気はまたその溶液のフラッシュ蒸発により便利に形成することができる。
当業者により理解されるであろうように、他のトリス(t−アルコキシ)シラノールは、t−ペンチル・アルコール(t−アミル・アルコールとしても知られる)などの他の第3アルコールをt−ブタノールに置換することにより、類似の反応によって調製することが可能である。トリス(t−アミロキシ)シラノール、(AmtO)3SiOHは室温で液体であり、その結果、その蒸気はきちんとした液体のフラッシュ蒸発により便利に形成することができる。それは96℃で約2トールの蒸気圧を有する。それはアルドリッチ・ケミカル(ウイスコン州、ミルウオーキー)から市販されている。
トリス(t−アルコキシ)シラノールまたはビス(t−アルコキシ)シランジオール蒸気は、1以上のアルミニウム化合物の適する反応性蒸気と反応して珪素、アルミニウムおよび酸素を含む固体膜を蒸着することが可能である。一般的に、トリス(t−アルコキシ)シラノールまたはビス(t−アルコキシ)シランジオールなどの(アルコキシ)シラノールおよび(アルコキシ)シランジオールは、「シラノール」と呼ばれる。
また、一般的なクラスの「シラノール」には、トリス(t−アルコキシ)シラノールまたはビス(t−アルコキシ)シランジオール中のt−アルコキシ基がアルキル基または部分的にフッ素化したアルキル基などの置換アルキル基により置き換えられる化合物が挙げられる。珪素に直接結合されるアルキル基を有するシラノールは、そのアルキル基を蒸着膜中に持ち込み、それに、低誘電率、低屈折率および低応力などの一部の用途において望ましい性質を与える。例えば、ビス(t−ブトキシ)アルキルシラノールは以下の二つの反応のいずれかから出発して調製することができる:
RSiCl3+2ButOH+2ピリジン→
(ButO)3RSiCl+2HClピリジン
(4)
RSiCl3+2NaOBut→(ButO)2RSiCl+
2NaCl
(5)
次に、塩化物は加水分解される:
(ButO)2RSiCl+H2O→(ButO)2RSiOH+HCl
(6)
これらの反応の説明については、H.−J.Holdt et al.,Z.Chem,23:252(1983)を参照すること。こういうふうに調製されるビス(t−ブトキシ)メチルシラノールは、87℃の温度で32トールの蒸気圧を有する。
3.アルミニウムまたは他のルイス酸を含有する前駆体
少なくとも一部の実施形態において、アルミニウム・アミドは本発明の実施において有用である。アルミニウム・アミドの一部の実施例は、利用可能な市販源および/またはそれらの合成のための参照文献の一覧と共に表1に与える。
Figure 2005521792
少なくとも一部の実施形態において、アルキルアルミニウムは本発明の実施において有用である。一部の例は、市販源またはそれらの合成の参照文献と共に表2に与える。
Figure 2005521792
少なくとも一部の実施形態において、アルミニウム・アルコキシドは本発明の実施において用いることができる。適する化合物は、市販源と共に表3に一覧する。
Figure 2005521792
塩化アルミニウムなどのアルミニウム・ハロゲン化物は、また、本発明の実施において用いることが可能であるが、しかし、それらは、それらが膜中にいくらかのハロゲン化不純物を残し基板または装置の腐食を引き起こす傾向があるという潜在的な不利を有する。
加えて、ルイス酸特性を有する他の金属は、本発明の実施において用いることが可能である。例えば、マグネシウム、ホウ素、スカンジウム、ランタン、イットリウム、ジルコニウムおよびハフニウムに限定されないがそれらを含むルイス酸金属を含有する化合物は、本発明の範囲内にある。交互層蒸着法は、アルミニウムの代わりにランタン、ジルコニウム、およびハフニウムを用いる金属ドープシリカを形成するために用いられてきた。
4.追加の酸素含有前駆体および他の前駆体
少なくとも一部の実施形態において、さらにアルミニウムに富んだシリカ/アルミナ・ナノラミネートを蒸着するために、一部のシラノール投与量を水と置き換える。CVD反応器において、水蒸気は蒸気入口近くの金属前駆体の蒸気と極めて急速に反応して基板上の膜というよりむしろ粉末を生成する傾向がある。交互層蒸着反応器において、こうした早すぎる反応は、一般に、反応物質が交互に反応器中に導入されるので、そこで入口近くの反応が防止され、反応が一般に基板表面に限定されるという理由により、避けられる。しかし、水が表面上に強く吸着する傾向があるので、反応物質のパルス間の交互層蒸着反応器の長ったらしいパージが必要とされることが可能である。水が追加の酸素源として用いられる場合、水蒸気用の供給ラインが水蒸気の物理的吸着を避けるため少なくとも約120℃の温度に加熱されることが推奨される。
場合により、イソプロパノールおよびt−ブタノールなどのアルコールは用いることが可能である。アルコールのアルミニウム化合物との反応は水に対するものより遅く、一層揮発性の高いアルコールは、交互層蒸着反応器からすぐにポンプで排出することができる。イソプロパノールおよびt−ブタノールなどのアルコールは、熱的に安定したアルミニウム化合物を含む反応に特に適している。一部のケースにおいて、基板温度は、アルコールを分解しそれによって膜の炭素含量を下げるために上げられる。
本発明の別の態様において、リン酸塩化合物、例えば、ビス(アルキル)リン酸塩の蒸気は、アルミニウム・アルキルアミド、アルキル、シクロペンタジエニドまたはアルコキシドなどの反応性アルミニウム化合物の蒸気と反応して、アルミニウム・リン酸塩を形成する。反応は膜を形成するようなやり方で行うことが可能である。一部のシラノールおよび他のビス(ジアルキル)リン酸塩の投与量を用いて、反応性アルミニウム化合物の投与量と交互にすることは、珪素、酸素、アルミニウムおよびリンを含む膜を与える。例えば、シラノールおよびアルミニウム化合物への暴露後、基板は、さらに、以下の式を有するビス(アルキル)リン酸塩にさらすことが可能である:
Figure 2005521792
式中、R1〜R6は、水素、アルキル基、フルオロアルキル基、または他の非金属原子または基により置換されるアルキル基を示し、R1〜R6基は、いずれも、同じかまたは異なる。一部の実施形態において、R1〜R6基は1〜4間の炭素を含有し、他の実施形態において、R1、R3、R4およびR6基はメチル基であり、R2およびR5基は水素である。
5.反応物質の蒸発および生成物蒸着
液体前駆体の蒸気は、バブラー中での加熱を含む従来型の方法により、薄膜蒸発器において、または約100〜200℃に予熱された搬送ガス中への噴霧により生成することが可能である。噴霧は空気圧的に、超音波的に、または他の別な適する方法により行うことが可能である。固体前駆体は、デカン、ドデカン、テトラデカン、トルエン、キシレンおよびメジチレンなどの炭化水素を含む有機溶媒中に、およびエーテル、エステル、ケトンおよび塩素化炭化水素により溶解することが可能である。液体前駆体溶液は、一般に、純粋液体よりも低い粘度を有するので、少なくとも一部の実施形態では純粋液体よりも溶液を噴霧し蒸発させる。液体または溶液は、また、薄膜蒸発器によるか、または加熱領域中への液体の直接注入により蒸発することが可能である。液体蒸発用の市販装置は、MKS・インスツルメンツ(MKS Instruments)(マサチューセッツ州、アンドーバー)、ATMI,Inc.(コネチカット州、ダンベリー)、ノベラス・システムズ(Novellus Systems,Inc.)(カリフォルニア州、サンノゼ)およびCOVA・テクノロジーズ(COVA Technologies)(コロラド州、コロラドスプリングス)により製造されている。超音波噴霧器は、ソノテック・コーポレーション(Sonotek Corporation)(ニューヨーク州、ミルトン)およびセタック・テクノロジーズ(Cetac Technologies)(ネブラスカ州、オマハ)により製造されている。
本発明の珪素前駆体は、表1にあるものなどのアルミニウム・アミドと反応してシリカ/アルミナ・ナノラミネートを形成することが可能である。例えば、トリス(t−ブトキシ)シラノールは、ヘキサキス(ジメチルアミド)ジアルミニウム(表1)と反応してシリカ/アルミナ・ナノラミネートを形成することが可能である(実施例7)。一部の実施形態において、本発明の珪素前駆体は、表2にあるものなどの有機アルミニウム化合物と反応してシリカ/アルミナ・ナノラミネートを形成することが可能である。他の実施形態において、本発明の珪素前駆体は、表3にあるものなどのアルミニウム・アルコキシドと反応してシリカ/アルミナ・ナノラミネートを形成することが可能である。なお他の実施形態において、本発明の珪素前駆体は、アルミニウム・ハロゲン化物などの他の適する反応性アルミニウム化合物と反応してシリカ/アルミナ・ナノラミネートを形成することが可能である。
交互層蒸着は、その中に層蒸着用の基板を有する蒸着室中に計量された第1反応物質量を導入する。第1反応物質の薄層が基板上に蒸着する。その間に過剰の第1反応物質が室から除去されるあらかじめ選択された時間帯の後、次に計量された第2反応物質量が蒸着室に導入される。第2反応は基板表面を飽和させる量で提供することが可能である。蒸着された金属または半金属化合物を含有する基板表面を飽和させるためにシラノールの高投与量が必要とされることは、確定されてきた。アルコキシシラノールまたはアルコキシシランジオール蒸気の投与量(モル/cm2で測定される)は、金属または半金属化合物の投与量(モル/cm2で)よりも少なくとも10倍大きく、100倍以上まで可能である。第2反応物質は蒸着し、すでに蒸着している第1反応物質層と相互作用する。第1および第2反応物質の交互層は蒸着室に導入され、基板上に蒸着して制御された組成および厚さの層を形成する。反応物質の交代はおよそ秒から分といったところであってよく、ちょうど導入された反応物質が基板上に蒸着し、あらゆる過剰の蒸気が基板上の上部空間から除去されるように適切な時間を提供するために選択される。表面反応が自己制御式であるので、予測可能な組成の再現可能な層が蒸着することが確定されてきた。当業者により理解されるように、2を超える反応物質を用いる蒸着法は本発明の範囲内である。例えば、第1反応物質は酸化剤源にさらされて、金属アミド、アルキル金属、金属アルコキシドまたは金属ハロゲン化物を金属酸化物または金属水酸化物に変換することができる。酸化剤源は水または有機アルコールであることができる。
本発明の一つの実施形態において、本方法は、通常試料をガス・クロマトグラフ中に注入するために用いられる6ポートのサンプリングバルブを有する装置(バルコ(Valco)モデルEP4C6WEPH、テキサス州、ヒューストンのバルコ・インスツルメンツ(Valco Instruments))中で行われ、液体または溶液のパルスを適する搬送ガス中に送達するために用いることが可能である。バルブが開かれるそれぞれの時間に、溶液は管中に流入し、その管中で溶液は管の外側を流れる熱油からの熱により蒸発する。搬送ガスにより蒸気は管から交互層蒸着反応器管中に移動する。
別の実施形態において、層は図1に示されるような装置を用いて交互層蒸着により蒸着する。少なくとも一部の実施形態により、反応物質蒸気30の計量された投与量は、一対の空気作動ダイアフラム弁、50および70(カリフォルニア州、リッチモンドのパーカー・ハニフィン(Parker−Hannifin)により製造されるチタン(Titan)IIモデル)の使用によって加熱された蒸着室110中に導入される。弁は計量された体積Vを有する室60により結合され、この組立て品は制御された温度T2で保持されるオーブン80の内部に置かれる。前駆体リザーバ10中の反応物質蒸気30の圧力は、周囲のオーブン40により決まる温度T1での固体または液体反応物質20の平衡蒸気圧Peqに等しい。温度T1は、前駆体圧力Peqが蒸着室における圧力Pdepよりも高くあるように十分に高くなるように選択される。温度T2は、弁50および70または室60中に凝縮相が全くなく蒸気のみが存在するようにT1よりも高くなるように選択される。ガス状反応物質の場合に、その圧力は、その圧力を前駆体ガスシリンダー10の圧力から減圧する圧力制御器(示していない)により設定することができる。
同様の配置は、蒸着室110中に導入される各反応性前駆体に提供される。従って、前駆体リザーバ11は、周囲の炉41により維持される温度T1での蒸気圧31を有する固体または液体反応物質21を保持する。弁51および71は計量された体積V’を有する室61により結合され、この組立て品は温度T2’でオーブン81中に置かれる。
搬送ガス(窒素ガスなどの)は、蒸着室中への反応物質の流れを急がせるために入口90および91中に制御された速度で流入し、反応副生物および未反応反応物蒸気をパージする。静的混合器を反応器に通じる配管100中に置いて、それが炉120により加熱され1以上の基板130を含有する蒸着室110に入る時に配送ガス中の前駆体蒸気のさらに均一な濃度を提供することが可能である。反応副生物および未反応の反応物蒸気は真空ポンプ150中に入る前にトラップ140により除去される。搬送ガスは排気管160から出る。
操作において、弁70は開けられ、その結果、室内圧力60は蒸着室110のそれに近い値Pdepに減圧される。次に、弁70は閉められ、弁50が開けられて前駆体蒸気が前駆体リザーバ10から室60中に入るのを可能とする。次に、弁50は閉められ、その結果、室60の体積は圧力Peqでの前駆体の蒸気を含有する。最終的に、弁70は開けられて、室60中に含有されるほとんどの前駆体蒸気が蒸着室中に入ることを可能とする。このサイクルにより送達される前駆体のモル数nは、蒸気が以下の理想ガスの法則に従うことを想定して算定することができる:
n=(Peq−Pdep)(V/RT1) (7)
式中Rはガス定数である。この表現は、また、管90からの搬送ガスが、それが前駆体蒸気を放出するために開いている短い時間帯の間弁70を通して室60に入らないことを想定している。搬送ガスの前駆体蒸気との混合が弁70を開けている時間帯に起こる場合、次に、前駆体蒸気のより大きな投与量は、室60中のすべての残留前駆体蒸気が搬送ガスにより置き換えられる場合、最大値、
n=(Peq)(V/RT1) (8)
まで送達することが可能である。比較的高い蒸気圧(Peq≫Pdep)を有する前駆体のため、前駆体投与量のこれら二つの推定値の間には通常それほどの違いはない。
前駆体20を送達するこのサイクルは、必要なら、前駆体20の必要投与量が反応室中に送達されてしまうまで繰り返される。一般に、交互層蒸着法において、このサイクル(またはより大きな投与量を与えるために繰り返されるこうした数回のサイクル)により送達される前駆体20の投与量は、表面反応が完全(「飽和」とも呼ばれる)まで行くことを引き起こすに十分大きいように選択される。搬送ガスは、あらゆる過剰蒸気が室から除去されてしまうまで、前駆体蒸気60の送達後も一般に数秒間にわたり流れ続ける。
次に、第2前駆体21からの蒸気31の投与量は計量され、第1前駆体20用の装置と同様に番号を振った構成成分を有する類似の装置により送達することが可能である。
前駆体投与量が蒸着領域中に送達することが可能である別の方法は、図2に図解的に示される。反応物質20の第1投与量は、上述のように、リザーバ10から弁50および70を通して室60に送達される。第2前駆体202はリザーバ201に含有される。その蒸気は、3方弁217を通して混合領域210中に、次に、加熱領域212中の室211内の基板213上に流れる。十分な投与量が送達されてしまうと、3方弁217はその他の位置に変わり、その結果、窒素パージガスがマスフローコントローラ290から蒸着室211中に流れ、あらゆる過剰反応物質蒸気をトラップ240中に掃きだし、一方で窒素は真空ポンプ250中に流れ込み排気管260から出る。投与量の規模は、その間3方弁217が送達位置に保持される時間の長さにより制御される。搬送ガスは、マスフローコントローラ291から連続的に流れる。
等温蒸着領域211において、材料は、一般に、基板および内部室壁を含む前駆体蒸気にさらされるすべての表面上に蒸着する。従って、基板および暴露されている室壁の全面積で割ったモルの表現で用いられる前駆体投与量を報告することは、適切である。一部のケースにおいて、蒸着は、また、基板の裏面の部分またはすべての上に起こり、そのケースにおいて、その面積は全面積の中に含まれることが好ましい。
アルミニウム前駆体は、一般に、酸素または周囲空気中の水分と反応し、純粋窒素ガスなどの不活性乾燥雰囲気下で保存することが好ましい。珪素前駆体は、一般に、安定しており、空気および水に対して不活性である。
6.穴および溝の等角的な被覆または埋め込み
シリカ・ナノラミネート層は、また、穴および溝内に蒸着して、穴または溝の深さを通して均一な等角層を形成することが可能である。1以上の実施形態において、穴または溝は、フォトリソグラフィを用いて表面の部分をマスクすることにより日常的に形成され、次に、異方性エッチング処理をされて(例えば、反応性イオンビームにより)フォトリソグラフ・マスクにより保護されない面の下の穴および溝から材料を除去する。マスク層は、基板表面をさらすための基板処理の前に除去される。薄い酸化アルミニウム層が、穴または溝の壁の上および基板の平坦な表面上に蒸着する。この蒸着は、例えば、トリメチルアルミニウム蒸気、場合により次に水蒸気を用いる原子層蒸着反応によることができる。アルミナ被覆基板表面および内部に含有される穴および溝は、次に、酸化アルミニウム層と触媒的に反応してシリカ/アルミナ・ナノラミネートの絶縁保護層を形成するトリス(t−ブトキシ)シラノールなどのシラノール蒸気にさらされる。この蒸気の交互サイクルは、繰り返されてより厚い等角のシリカ・ナノラミネート層を蒸着することができる。十分な数の層は、その断面がその深さの関数として増加しないという条件で、穴を完全に埋め込む。
別の実施形態において、薄いシリカ層は、これらの穴または溝に対する開口部に隣接する平坦な表面上に同時に蒸着することなしに、穴および溝内に蒸着する。穴または溝は、フォトリソグラフィを用いて表面の部分をマスクすることにより日常的に形成され、次に、異方性エッチング(多くの場合、反応性イオンビームによる)は、フォトリソグラフィ・マスクにより保護されない面の下の穴または溝から材料を除去する。本発明の一つの実施形態による方法において、薄い酸化アルミニウム層は、穴または溝の壁の上およびマスク層の表面上に蒸着する。この蒸着は、トリメチルアルミニウム蒸気次に水蒸気を用いるなどの原子層蒸着反応によることができる。
次に、マスクは、蒸着アルミニウム化合物を除去しない化学的に選択性のあるエッチングにより除去される。マスク除去の間、その表面上の酸化アルミニウムは、また、除去されるが、しかし、酸化アルミニウムは穴または溝の内部表面上に残る。最終的に、構造物は、酸化アルミニウム層と触媒的に反応するトリス(t−ブトキシ)シラノールなどの反応性シラノール蒸気にさらされる。結果は、マスク層により覆われていたが今は露出基板である上部の平坦な表面上ではなく、穴および溝の内部表面上のみに蒸着する薄いシリカ層である。この方法は、上部表面上に不必要な材料を蒸着することなしに穴または溝の内部表面を電気的に絶縁するために用いることができる。極めて狭い穴または溝(約30nm幅未満の)のため、穴または溝は1段階でシリカにより完全に埋め込むことが可能である。
図3はこの過程を説明する。図3Aは、320などの領域を除去するためにフォトリソグラフ的にパターン化されたエッチング・マスク層310により覆われる珪素・ウエハー300の断面を示す。反応性イオン・エッチングは、図3Bに示すように穴または溝330から珪素を除去する。次の1回または数回の原子層蒸着サイクルは、図3Cに示すように穴または溝の内側、およびエッチング・マスク310を覆う酸化アルミニウムの薄層340を蒸着するために用いられる。次に、エッチング・マスクはエッチングにより除去されるが、その過程の間にエッチング・マスク上の酸化アルミニウムも、また、酸化アルミニウムの下の材料が溶解してしまうので除去される。これは図3Dに示す構造物を残すが、この中で酸化アルミニウムは穴または溝の内側の皮膜350としてのみ残る。最終段階において、構造物は加熱されシラノール蒸気にさらされ、その結果、シリカ360は、上部表面370上ではなく、穴または溝の内部に蒸着し、上部表面はシリカがないままで残る(極めて薄い自然のシリカ層を除いて)。マスク層がシリカ蒸着の前に除去されるので、先行技術法を用いて見られる「ディッシング」効果は避けられる。
溝を埋める材料をホウ素、酸素、または珪素に対して潜在的に有害な他の不純物の拡散に対するよりよいバリアになすことは、有利であることが可能である。酸化アルミニウムは拡散に対する良好なバリアである。従って、溝をシリカまたは低k材料で埋め尽くす前に、アルミナのより厚い層(単一層のみより多くの)を蒸着することは有利であることが可能である。拡散バリアは、シラノール投与量を提供して溝を埋め終わる前に、水、アルコールまたはオゾンなどの酸素用の前駆体と交互にするアルミニウム前駆体を用いる数サイクルの原子層蒸着を完遂することにより作製することができる。
追加の酸化アルミニウムは、次のシラノール投与量に進む前に、アルミナ蒸着サイクル(例えば、アルミニウム源次に酸素源)を繰り返すことによりナノラミネート材料に添加することができる。追加アルミナ用のサイクルは、例えば、トリメチルアルミニウム蒸気および水蒸気であることができよう。
アルミニウム源とシラノールを交互にする蒸着によるナノラミネートは、「熱的酸化物」(すなわち、単結晶珪素を加熱することにより成長したシリカ)のそれよりも、フッ化水素酸溶液中でより高いエッチング速度を有する。埋め込み素子分離などの用途において、蒸着絶縁体のHFエッチング速度が、その層が絶縁体により溝を埋める前に通常珪素上に存在する熱的酸化物のそれに適合することは望ましい。アルミナの添加はフッ化水素中のナノラミネートのエッチング速度を低下させる。従って、アルミナに富むナノラミネートは、熱的酸化物のそれに類似のエッチング速度を有するように調整することができる。高温焼きなましも、また、本発明の交互層蒸着ナノラミネートのエッチング速度を低下させることは、留意されるべきである。従って、添加アルミナは、熱的焼きなましと共にナノラミネートのエッチング速度を調整するために用いることができる。
埋め込み素子分離構造物は、常に、マイクロエレクトロニクス・デバイスの製造における後段階の間に熱的焼きなましを受ける。ナノラミネートの熱膨張率が単結晶珪素のそれよりも小さいので、焼きなましは、珪素とナノラミネート間の熱応力をもたらすことができる。ナノラミネートのアルミナ含量の増大は、アルミナ増量が焼きなましの間の熱応力を低下させることができるように、その熱膨張率を増大させる。溝埋め込みシリカ・ナノラミネートは、基板の熱膨張率に適合するために十分な量のアルミナを含むことができる。
7.多孔質誘電体を密封するための第2誘電体の蒸着
本発明は、誘電体の気孔率に関係する一部の処理問題なしで、望ましい低密度および低k特性を有する優れた誘電体を製造するための材料および方法を提供する。詳細には、本発明の蒸着法を用いて、多孔質誘電体の表面気孔率は有意に低減されるかまたは排除され、一方で、内部気孔率は全部の誘電体に対する望ましい低k値を維持するために保持される。
この実施形態を行う段階は、図4を参照して理解することが可能である。図4Aは410と記されるものなどの孔を有する材料400の断面図を示す。材料400は、水素シルセスキオキサン、メチル・シルセスキオキサン、エーロゲル、キセロゲル、SiCxOHy、SiLK(登録商標)(ダウ・ケミカル(Dow Chemical))、CORAL(登録商標)(ノベラス(Novellus))、ブラック・ダイモンド(Black Diamond)(登録商標)(アプライド・マテリアルズ(Applied Materials))、およびCVD蒸着メチルシラン、などに限定されないがそれらを含む現在開発中の多くの多孔質低k材料のあらゆるものを含むことが可能である。一般に、これらの多孔質誘電体は、それらの一部が内部のバルク材料から誘電体の外表面に延び、他が全体としてバルク材料内に含まれる孔の相互接続ネットワークを包含した。すべての孔は材料の密度および誘電率を低下させる。最も有害な影響を有する孔は、これらの孔が、高ステップカバレージを有する原子層蒸着または別の蒸着法を用いて多孔質誘電体に導電性材料を塗布する場合、電気的短絡を生じることができるという理由により、材料の外表面420に対して開放されているものである。
この実施形態を実施することにおいて、多孔質誘電体の表面孔は、孔の内部空間を埋めることなく、シリカまたは他の絶縁性または低k材料などの第2絶縁材料450により密封される。多孔質誘電体のこれらの表面孔を密封するために、薄い触媒層430および435は、最初に表面上、そして表面に近接した孔側壁上に蒸着する。触媒材料は、「低」ステップカバレージ(例えば、孔または溝の側壁およびあらゆる内部空間または表面の非等角皮膜)を有する方法において蒸着するアルミニウム含有材料であることができる。このようにして、触媒層430は多孔質材料の外表面420上に、および表面に近接する孔の内部表面上に層435として蒸着する。孔のより深い内部表面440は触媒なしで残る。
当業者により理解されるであろうように、こうした「高ステップカバレージ」または「低ステップカバレージ」は、反応条件および反応物質反応性を調整することにより容易に得ることが可能である。例えば、原子層蒸着は、基板表面への蒸気の暴露が簡単であり、反応物質の投与量が限定されていて、高真空ポンピング速度が反応物質材料の表面近接孔部分への侵入を限定するために用いられる(孔または溝が少なくとも一つの側壁および内部空間により定義される場で、侵入は側壁の上端部のみが反応物質により被覆されるように制御される)条件下で用いることが可能である。あるいは、「低」ステップカバレージを有する蒸着法は、スパッタリングまたは蒸発などの物理的蒸着法、または「低」ステップカバレージを与える条件下でのCVD法であることができる。
一部の実施形態において、本発明は、多孔質基板上への蒸着膜、特に主として二酸化珪素からなるものを目指す。一部の実施形態において、これらの皮膜は、二酸化珪素、比較的少量のアルミニウムを含み、場合により、炭素および水素、および比較的少量の他の元素(例えば、ドーパント)を含有することが可能である。例えば、シラノール前駆体の1投与量は、図4Bにおけるように、前もって触媒により被覆された多孔質基板に供給される。この方法は、十分に低いkの材料450の形成を可能として表面孔を埋め込むが、一方で、酸化アルミニウム触媒を欠く孔の内部表面上には低k材料は全く形成されない。この方法を用いて、大きな表面孔でさえシラノール1投与量により埋められる。例えば、トリス(t−ブトキシ)シラノール、(ButO)3SiOHが用いられる場合、次に、30nm径以下の孔は1投与量で完全に埋めることができる。典型的な超低k誘電体における孔は10nm径以下であるので、ほんの少しの過度に大きな孔も埋められるであろうように適切な安全マージンがとってある。メチルビス(t−ブトキシ)シラノール、Me(ButO)2SiOHは、シリカよりも低い誘電率を有する材料と共に表面孔を埋めることができる。
一つの追加段階の使用により、孔充填誘電体の蒸着は、前の実施形態によるよりも少ない材料の添加によって達成することができる。追加段階は、アルミナ触媒層430および435の蒸着の後に続く。酸化アルミニウム触媒430は、低k材料の外表面420から除去され、一方で、埋められる必要がある孔の外側部分の内側に触媒435を残す。得られる構造は図5Aに示される。一つの実施形態において、これは、触媒の最も露出した部分430のみをエッチングする条件下での反応性イオン・エッチングにより行うことができた。次に、シラノール蒸気への暴露は、図5Bに示すように、表面の面420上ではなく主として孔の外側部分内に低k材料560を形成する。得られる構造は、触媒部分430の除去なしに生成される図4Cに示すものよりも低い誘電率を有する。
本発明は、説明のみの目的のためであり、本発明を限定しない以下の実施例を参照して理解することが可能であり、その全範囲は後のクレームにおいて示される。
実施例1.シリカ/アルミナ・ナノラミネートの交互層蒸着
図2の装置をシリカ/アルミナ・ナノラミネート膜を蒸着するために用いた。トリス(t−ブトキシ)シラノール(「シラノール」)をステンレス鋼容器201中に置き、150℃に加熱した。その温度でそれは約100トールの蒸気圧を有する。トリメチルアルミニウム(「TMA」)を容器20中に20℃で保持し、その結果、その蒸気圧は約14トールであった。7μm深さおよび0.1〜0.2μm径の穴を含有する珪素基板213を、希釈フッ化水素酸中にそれを数秒間置くことによりその自然酸化物を溶解することによって調製した。次に、基板を、空気中で紫外線により(例えば、UV水銀ランプ)表面が親水性になるまで(約2分)放射した。次に、基板213を、室211中に置き、温度250℃に加熱した。
TMA蒸気のパルスを送達するために、0.4mm内径の内部導管を有する3方弁217を、その間に1x10-6モルのTMA蒸気が蒸着室中に流れ込む1秒間にわたりTMA蒸気に対して開とした。ついでながら、基板213の面および室211の加熱された壁は全部まとめて約103平方センチメートルである。従って、TMAの投与量は1x10-9モル/cm2であった。次に、3方弁217を、室から残留非反応TMA蒸気をパージするために5秒間にわたる窒素ガスの流入を可能とするように変えた。次に、窒素流を止め、蒸着室を真空ポンプ250により15秒間にわたり真空にした。シラノール蒸気を送達するために、底部のオン・オフ弁50を1秒間にわたり開けて、体積60をその平衡蒸気圧でのシラノール蒸気で満たした。次に、底部のオン・オフ弁50および真空ポンプ250と蒸着室間の弁280を閉じた。次に、体積60の上端のオン・オフ弁70をすぐに開として1x10-7モル/cm2のシラノール蒸気が蒸着室211に入ることを可能とした。15秒後、頂部のオン・オフ弁70を閉じ、真空ポンプ250に至るオン・オフ弁280を開け、窒素を5秒間にわたり流入させて、過剰のシラノールおよび揮発性反応副生物をパージした。次に、このサイクルをさらに3回繰り返した。
これら4回のサイクルを完遂した後、基板213を反応器から除去した。基板を偏光解析法により吟味し、均一な厚さ49nmおよび屈折率1.46を持つ膜を有することを見出した。珪素基板が蒸着前にその上に約1nm厚さの自然のシリカ膜を有したことを考慮して、4サイクルそれぞれは約12nmの膜を蒸着したと計算された。膜の平均化学組成は、約99モル%のSiO2および1モル%のAl23と測定された。従って、各サイクルは約4x10-8モル/cm2のSiO2および4x10-10モル/cm2のAl23を蒸着した。トリメチルアルミニウムまたはシラノールのより高い投与量を用いた他の運転において、15nmシリカ/サイクルまでを蒸着した。
前述により開発した膜は極めて望ましく滑らかな表面特性を所有した。原子間力顕微鏡により、蒸着層の表面のなめらかさは、その上にそれが蒸着する基板のそれに等しくはないとしても、極めて相似していることが確認された。
シリカ被覆穴の断面を示すために裂かれて被覆されたウエハーの走査型電子顕微鏡写真(SEM)を図6に例として挙げる。図6Aは完全な非被覆穴のSEMを示す。図6Bは約46nm厚さの均一なシリカ膜により被覆される穴の上部、中央および底部のより高い倍率でのSEM画像を示す。これらのデータは、極めて狭い穴が完全な絶縁保護膜により覆われることを示す。その底での穴の最も狭い部分は、完全にシリカで埋められる。
本発明は理論または運転方式によりしばられないが、一部の化学反応は本発明の工程の間に起こることが提言されている。第1の半反応において、トリメチルアルミニウムは、図7Aに示すものなどの反応によりヒドロキシル化表面と反応し、アルミニウムの化学吸着および副生メタンガスの放出をもたらす。第2の半反応の間に、トリス(t−ブトキシ)シラノール、(ButO)3SiOH(「シラノール」と略される)は、第1の半反応から残ったメチルアルミニウム含有表面と反応し、シラノールは化学的に表面に結合されることになり、図7Bに示すものなどの反応によりメタンを放出する。次に、追加のシラノール分子は表面まで拡散し、図7Cに描く協調機構によりアルミニウム−酸素結合中に挿入される。Al−O結合中へのシラノールの繰り返し挿入は、図7Dに示すように、この重合を触媒化するアルミニウムを通して表面に結合されるシロキサンポリマーを形成する。
このシロキサンポリマーは強い化学結合により表面に結合され、従って非揮発性である;従って、揮発性シロキサンの非揮発性シロキサンポリマーへの転換は不可逆化学吸着過程であることが設定される。シラノールはこの柔らかく表面に結合したシロキサンポリマーを通して拡散することができるので、触媒性アルミニウム原子は、より多くのシラノール分子の重合を触媒化するために利用可能のままで残る。この方法における律速段階は、シラノール蒸気の濃度が、触媒性アルミニウムセンターが完全に占拠されている状態に保持するために十分に高いという条件で、シラノールのシロキサンへの触媒的転換である;従って、化学吸着速度は、その速度でシラノールがシロキサン層の表面に届く速度に依存しない。反応速度論の言語において、化学吸着速度はシラノールの蒸気濃度においてゼロ次である。この条件は、表面上へのシラノール蒸気の分配の間存在することが可能であるあらゆる非均一性にかまわず、無関係である均一な厚さの膜を製造することにおいて重要である。
シロキサン上のt−ブチル基は、図7Eに示すように、イソブテンのβ水素脱離により熱的に分解し、珪素上にヒドロキシル基を残す。新しく形成されるヒドロキシル基は、図7Fに描くものなどの反応により、水素原子を近くのブトキシ基に伝達し、t−ブタノールを脱離し、珪素原子を酸素原子により架橋することが可能である。この架橋は、また、図7Gにあるように、二つの隣接するヒドロキシル基間の水の脱離により達成することが可能である。これらの架橋反応は、シロキサンポリマー鎖を結合し、ポリマー層がゲル化し結局シリカ(SiO2)に固まることを引き起こす。シラノールがおそらく固体シリカを通してのごくわずかな拡散速度しか有しないので、追加のシラノールは、もはや触媒性アルミニウム原子には届くことができず、そこで、シラノールの化学吸着は最終的に停止する(自己制御式となる)。十分なヒドロキシル基はシリカ層の表面上に残り、その結果、サイクルはトリメチルアルミニウムの次の投与量とヒドロキシル化表面との反応により再度始めることが可能である。
実施例2.シラノール蒸気に対する暴露時間を15秒から90秒に増やすことを除いて、実施例1を繰り返した。シラノールの化学反応が15秒内に完了することを示す理想的な結果を得た。
実施例3.4サイクルの代わりに100サイクルを用いることを除いて、実施例1を繰り返した。均一な全体厚さ1.2μm(1200nm)を有する膜を得た。この結果は、少なくとも100サイクルに対するサイクル当り12nm厚さの一貫した層が蒸着することを実証した。
実施例4.両方の反応物質の投与量を2倍にすることを除いて、実施例1を繰り返した。膜の厚さおよびその特性は実施例1のそれらと変わらなかった。これらの結果は表面反応が自己制御式であることを示す。
実施例5.基板温度を200℃〜300℃範囲内で変動させることを除いて、実施例1を繰り返した。得られる膜の厚さは図8に示すように温度と共に変動した。これらの結果は、最も高い膜蒸着速度が225℃〜250℃間の温度に保持される基板に対して起こることを実証する。
実施例6.トリス(t−ブトキシ)シラノール蒸気の代わりにトリス(t−ペンチロキシ)シラノール蒸気を用いて実施例1を繰り返した。実施例1のそれらと同様の結果を得た。
実施例7.トリメチルアルミニウム蒸気の代わりにヘキサキス(ジメチルアミド)ジアルミニウム蒸気を用い、図2の装置の代わりに図1の装置を用いることを除いて、実施例1を繰り返した。実施例1のそれらと同様の結果を得た。
実施例8.トリス(ジメチルアミノ)アルミニウム蒸気とトリス(t−ブトキシ)シラノール蒸気の投与量間の水蒸気投与量で実施例7を繰り返した。同様の膜を得た。
実施例9.トリス(t−ブトキシ)シラノール蒸気の10番目の投与量毎にジ−イソプロピル・リン酸塩蒸気に置き換えることを除いて実施例3を繰り返した。シリカ/アルミナ/リン酸塩ナノラミネートを得た。
実施例10.溶融石英、ソーダ石灰ガラス、ガラス状炭素、ステンレス鋼、銅、アルミニウムおよび白金の基板を用いて実施例1を繰り返した。理想的な結果を得た。
比較例1.珪素および酸素前駆体、トリス(t−ブトキシ)シラノールのみを用い、アルミニウム前駆体は全く用いず実施例1を繰り返した。基板表面上に蒸着する膜は全く認められなかった。
比較例2.アルミニウム前駆体、トリメチルアルミニウムのみを用い、珪素前駆体は全く用いず実施例1を繰り返した。膜は全く蒸着しなかった。
比較例3.アルミニウム前駆体、ヘキサキス(ジメチルアミド)ジアルミニウムのみを用い、珪素前駆体は全く用いず実施例7を繰り返した。膜は全く蒸着しなかった。
比較例4.トリス(t−ブトキシ)シラノールの代わりにテトラキス(t−ブトキシ)シランを用いて実施例1を繰り返した。膜は全く蒸着しなかった。この実施例は、珪素前駆体の反応度がシラノール(−OH)基の存在に応じて決まることを説明する。
当業者は、本明細書において詳細に記載される本発明の特定の実施形態に対する多くの同等物を、日常の実験に過ぎないものを用いて認識するかまたは確認することができる。こうした同等物は以下のクレームの範囲内に包含されるように意図されている。
本発明の少なくとも一つの実施形態の実施において用いられる交互層蒸着装置の断面図である。 本発明の少なくとも一つの実施形態の実施において用いられる交互層蒸着装置の断面図である。 シリカ層が、穴または溝に隣接した平らな表面上に同時に蒸着することなしに、穴または溝中に蒸着する穴または溝を有する珪素・ウエハーの断面図である。 (A)孔を有する誘電体、(B)それに、薄い触媒層が誘電体の外表面および孔の側壁の部分上に蒸着し、(C)それに、比較的低誘電率を有する材料が、次に、それが薄い触媒層と反応して孔の開口部および隣接する誘電体の外表面上に密封層を形成するように蒸着する、断面図である。 (A)触媒材料の薄層が蒸着した孔を有する誘電体、および孔開口部に隣接する誘電体の外表面上に蒸着される触媒材料は、触媒材料が主として孔の側壁の上部内にとどまるように除去され、(B)それに、比較的低誘電率を有する材料が、次に、それが薄い触媒層と反応して孔の開口部上に密封層を形成するように蒸着する、断面図である。 本発明の一つの実施形態を用いてシリカ/アルミナ・ナノラミネートにより均一に被覆される珪素・ウエハー中の穴の断面走査型電子顕微鏡写真である。 本発明の少なくとも一つの実施形態の間作用すると提案されている代表的な化学反応を図示する。 サイクル当りに蒸着する層の厚さが蒸着の間の基板の温度に応じて決まることを説明するグラフ表示である。

Claims (57)

  1. ルイス酸特性を有する金属または半金属化合物を含有する領域を含む加熱された基板をシラノール蒸気にさらして、基板の酸性領域上に2nmを超える厚さを有するシリカ層を形成することを含む、基板上にシリカ層を形成する方法。
  2. 前記シラノール蒸気が基板の酸性領域を飽和させるために十分な量でさらされる請求項1に記載の方法。
  3. 金属または半金属化合物が、基板を金属または半金属化合物の蒸気にさらすことにより、基板上に層として蒸着する請求項1に記載の方法。
  4. 基板がアルミニウム金属またはアルミニウム含有化合物を含む酸性領域を包含する請求項1に記載の方法。
  5. 各蒸着サイクルが、
    (a)基板を、ルイス酸特性を有する金属または半金属化合物の蒸気にさらして、少なくとも基板の領域上に金属または半金属化合物層を蒸着すること、および
    (b)加熱された基板をシラノール蒸気にさらし、該シラノール蒸気は金属または半金属化合物層上に蒸着して、2nmを超える厚さを有する層を少なくとも1サイクルの間に形成するシリカ層を形成すること、
    を含む複数の蒸着サイクルを基板に受けさせることを含む、基板上にシリカ・ナノラミネートを蒸着させる方法。
  6. シリカ・ナノラミネートが約2〜15nm範囲の厚さを有するシリカおよび0.1nm以上の厚さを有するアルミナの交互層を含む請求項5に記載の方法。
  7. 前記シラノール蒸気が金属または半金属化合物の層を飽和させるために十分な量でさらされる請求項5に記載の方法。
  8. 約5nmを超える厚さを有するシリカ層が少なくとも1サイクルの間に形成される請求項1または5に記載の方法。
  9. 約10nmを超える厚さを有するシリカ層が少なくとも1サイクルの間に形成される請求項5に記載の方法。
  10. 約12nmを超える厚さを有するシリカ層が少なくとも1サイクルの間に形成される請求項5に記載の方法。
  11. 約15nm以下の厚さを有するシリカ層が少なくとも1サイクルの間に形成される請求項1または5に記載の方法。
  12. 蒸着サイクルが、さらに、
    (c)段階(a)において形成される金属または半金属化合物を酸素含有蒸気またはガスにさらすこと、
    を含む請求項5に記載の方法。
  13. 段階(a)および(c)が段階(b)の前で1回以上繰り返される請求項12に記載の方法。
  14. 酸素含有ガスが水およびアルコールの一つである請求項5に記載の方法。
  15. 金属または半金属化合物が金属アミド、アルキル金属、金属アルコキシドおよび金属ハロゲン化物からなる群から選択される請求項1または5に記載の方法。
  16. 金属または半金属がアルミニウム、ホウ素、マグネシウム、スカンジウム、ランタン、イットリウム、ジルコニウムおよびハフニウムからなる群から選択される、請求項15に記載の方法。
  17. シラノールが、式、
    Figure 2005521792
    を有する、請求項1または5に記載の方法であって、
    式中R1〜R9は、包括的に、水素、アルキル基、フルオロアルキル基、または他の非金属原子または基により置換されるアルキル基を示し、R1〜R9は同じかまたは異なる。
  18. シラノールが、式、
    Figure 2005521792
    を有する、請求項1または5に記載の方法であって、
    式中、R1〜R6は、包括的に、水素、アルキル基、フルオロアルキル基、または他の非金属原子または基により置換されるアルキル基を示し、R1〜R6は同じかまたは異なる。
  19. シラノール蒸気が、式、
    Figure 2005521792
    を有する、請求項1または5に記載の方法であって、
    式中、R1〜R7は、包括的に、水素、アルキル基、フルオロアルキル基、または他の非金属原子または基により置換されるアルキル基を示し、R1〜R7は同じかまたは異なる。
  20. 1〜R9基が1〜4間の炭素を含有し、同じかまたは異なる請求項17に記載の方法。
  21. 段階(b)の暴露が、段階(a)において提供される金属または半金属化合物のモル/cm2での投与量よりも少なくとも10倍大きいアルコキシシラノールまたはアルコキシシランジオール蒸気のモル/cm2での投与量を提供する、請求項1または5に記載の方法。
  22. アルコキシシラノールまたはアルコキシシランジオール蒸気の投与量が、金属または半金属化合物の投与量の少なくとも100倍である請求項21に記載の方法。
  23. そこに壁および内部空間を有する少なくとも一つのくぼみを有する基板を提供し、
    各蒸着サイクルが、
    (a)加熱された基板を、ルイス酸特性を有する金属または半金属化合物の蒸気にさらして、くぼみの壁上に金属または半金属化合物の等角層を蒸着すること、および
    (b)加熱された基板をシラノール蒸気にさらし、該シラノール蒸気は金属または半金属化合物の等角層上に蒸着し、2nmを超える厚さを有するシリカ層が少なくとも1サイクルの間に形成されると共に、くぼみの内部空間がくぼみの中での空隙形成なしに埋め込まれること、
    を含む1回以上の蒸着サイクルを基板に受けさせることを含む、基板の外表面上の穴または溝を埋め込む方法。
  24. 段階(b)の暴露が、段階(a)において提供される金属または半金属化合物のモル/cm2での投与量よりも少なくとも10倍大きいアルコキシシラノールまたはアルコキシシランジオール蒸気のモル/cm2での投与量を提供する、請求項23に記載の方法。
  25. アルコキシシラノールまたはアルコキシシランジオール蒸気の投与量が、金属または半金属化合物の投与量の少なくとも100倍である請求項24に記載の方法。
  26. シリカ・ナノラミネートがくぼみを埋め込むと共に、シリカ・ナノラミネートが約2〜15nm範囲の厚さを有するシリカおよび約0.1nm以上の厚さを有するアルミナの交互層を含む、請求項23に記載の方法。
  27. 2回以上の蒸着サイクルが用いられる請求項23に記載の方法。
  28. 蒸着サイクルが、さらに、
    (d)段階(a)において蒸着される金属または半金属化合物層を酸素含有蒸気またはガスにさらすこと、
    を含む請求項23に記載の方法。
  29. 酸素含有ガスが水およびアルコールの一つである請求項28に記載の方法。
  30. シラノールが、式、
    Figure 2005521792
    を有する、請求項23に記載の方法であって、
    式中R1〜R9は、包括的に、水素、アルキル基、フルオロアルキル基、または他の非金属原子または基により置換されるアルキル基を示し、R1〜R9は同じかまたは異なる。
  31. 1〜R9基が1〜4間の炭素を含有し、同じかまたは異なる請求項30に記載の方法。
  32. 金属または半金属化合物が金属アミド、アルキル金属、金属アルコキシドおよび金属ハロゲン化物からなる群から選択される請求項23に記載の方法。
  33. 金属または半金属がアルミニウム、ホウ素、マグネシウム、スカンジウム、ランタン、イットリウム、ジルコニウムまたはハフニウムからなる群から選択される、請求項29に記載の方法。
  34. シリカ層が選択的にくぼみ内に蒸着する請求項23に記載の方法。
  35. シリカ層が選択的に蒸着すると共に、選択的蒸着が、
    (e)段階(a)の暴露の前に、該領域が少なくとも一つの陥凹部を含み、シリカ形成が望まれる基板の少なくとも一つの領域をさらすために基板をマスキングし、
    (f)段階(a)の暴露後に、金属または半金属化合物層の前記領域を有する基板を提供するために基板マスクを除去すること、および
    段階(b)におけるように段階(f)の基板をシラノール蒸気にさらす間に、シラノール蒸気が金属または半金属化合物層の前記領域上に優先的に蒸着すること、
    を含む請求項23に記載の方法。
  36. 複数の隣接能動素子領域を有する半導体基板、隣接素子領域間の半導体基板内に組み込まれる溝、および
    等角的におよび空隙なしで溝を埋める、約2〜15nm範囲の厚さを有するシリカおよび約0.1nm以上の厚さを有するアルミナの交互層を含むシリカ・ナノラミネート材料、
    を含む集積回路。
  37. 溝埋め込みシリカ・ナノラミネートが基板の熱膨張率に適合するために十分な量のアルミナを含む請求項36に記載の回路。
  38. 溝埋め込みシリカ・ナノラミネートが珪素上に熱的に成長したシリカのフッ化水素酸エッチング速度に適合するために十分な量のアルミナを含む請求項36に記載の回路。
  39. そこに側壁および基板の外表面に開放された内部空間を有する少なくとも一つの孔を有する基板を提供し、
    基板に1回以上の蒸着サイクルを受けさせ、各蒸着サイクルが、
    (a)基板を、基板の外表面に近接する孔の部分が金属または半金属化合物により被覆され内部部分は被覆されないように、ルイス酸特性を有する金属または半金属化合物の蒸気にさらし、および
    (b)加熱された基板を、金属または半金属化合物上に優先的に蒸着するアルコキシシラノールまたはアルコキシシランジール蒸気の一つを含むシラノール蒸気にさらして、
    基板の外表面上の孔開口部を閉じるために十分な厚さのシリカ層を形成すること、
    を含む1回以上の蒸着サイクルを基板に受けさせることを含む、基板の外表面中の孔を密封する方法。
  40. 蒸着サイクルが1回以上繰り返される請求項39に記載の方法。
  41. 基板の外表面に近接する孔部分が、低ステップカバレージを有する蒸着法を用いて、金属または半金属化合物により被覆される請求項39に記載の方法。
  42. 金属または半金属化合物が、孔の前記近接部分への蒸気の侵入を限定する条件下での化学蒸着を用いて蒸着する請求項39に記載の方法。
  43. さらに、段階(b)において基板をシラノール蒸気にさらす前に、基板の外表面から蒸着した金属または半金属化合物層を除去し、一方で蒸着した材料を孔の近接部分上に残すことを含む、請求項39に記載の方法。
  44. 金属または半金属化合物が、一緒のまたは後の酸化を伴い金属または半金属の酸化物または水酸化物を生成する金属または半金属の物理蒸着により蒸着する、請求項39に記載の方法。
  45. 段階(b)の暴露が、段階(a)において提供される金属または半金属化合物の投与量モル/cm2の少なくとも10倍であるアルコキシシラノールまたはアルコキシシランジオール蒸気のモル/cm2での投与量を提供する、請求項39に記載の方法。
  46. アルコキシシラノールまたはアルコキシシランジオール蒸気の投与量が、金属または半金属化合物の投与量の少なくとも100倍である請求項45に記載の方法。
  47. 蒸着サイクルが、さらに、
    (d)段階(a)において形成される金属または半金属化合物層を酸素含有蒸気またはガスにさらすこと、
    を含む請求項39に記載の方法。
  48. 酸素含有ガスが水およびアルコールの一つである請求項47に記載の方法。
  49. シラノールが、式、
    Figure 2005521792
    を有する、請求項39に記載の方法であって、
    式中R1〜R9は、包括的に、水素、アルキル基、フルオロアルキル基、または他の非金属原子または基により置換されるアルキル基を示し、R1〜R9は同じかまたは異なる。
  50. 1〜R9基が1〜4間の炭素を含有し、同じかまたは異なる請求項49に記載の方法。
  51. 金属または半金属化合物が金属アミド、アルキル金属、金属アルコキシドおよび金属ハロゲン化物からなる群から選択される請求項39に記載の方法。
  52. 金属または半金属がアルミニウム、ホウ素、マグネシウム、スカンジウム、ランタン、イットリウム、ジルコニウムまたはハフニウムからなる群から選択される、請求項51に記載の方法。
  53. 基板の外表面上に第1触媒性材料を蒸着させ、基板および触媒性材料を第2反応性化合物の蒸気にさらし、そこで第1触媒性材料および第2反応性化合物が反応して、孔内部の少なくとも一部を空隙空間として残しながら孔の表面開口部を覆う薄層を形成すること、
    を含む基板の外表面上に存在する孔を密封するための方法。
  54. 該多孔質層の孔の、層の外表面に対する開口部を占拠するシリカプラグを含み、それによって該孔の開口部が少なくとも孔内部の一部を空隙として残しながら該シリカプラグにより密封される多孔質層をその上に有する基板、
    を含む4未満の誘電率を持つ層を有する物品。
  55. シリカプラグが約15nm以下の厚さを有するシリカを含む請求項54に記載の物品。
  56. シリカプラグが基板の全表面上に密封層を形成する請求項54に記載の物品。
  57. シリカプラグが実質的に層表面での孔開口部の内部のみに密封層を形成する請求項54に記載の物品。
JP2003580596A 2002-03-28 2003-03-28 二酸化珪素ナノラミネートの蒸着 Expired - Lifetime JP4959921B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36829202P 2002-03-28 2002-03-28
US60/368,292 2002-03-28
US41561702P 2002-10-02 2002-10-02
US60/415,617 2002-10-02
PCT/US2003/009736 WO2003083167A1 (en) 2002-03-28 2003-03-28 Vapor deposition of silicon dioxide nanolaminates

Publications (3)

Publication Number Publication Date
JP2005521792A true JP2005521792A (ja) 2005-07-21
JP2005521792A5 JP2005521792A5 (ja) 2006-05-25
JP4959921B2 JP4959921B2 (ja) 2012-06-27

Family

ID=28678229

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003580596A Expired - Lifetime JP4959921B2 (ja) 2002-03-28 2003-03-28 二酸化珪素ナノラミネートの蒸着

Country Status (7)

Country Link
US (2) US8008743B2 (ja)
EP (1) EP1490529A1 (ja)
JP (1) JP4959921B2 (ja)
KR (1) KR100996816B1 (ja)
CN (1) CN100360710C (ja)
AU (1) AU2003228402A1 (ja)
WO (1) WO2003083167A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012140646A (ja) * 2010-12-28 2012-07-26 Innovation & Infinity Global Corp 拡散ブロッキング構造、透明導電構造及びその製造方法
WO2012141031A1 (ja) * 2011-04-11 2012-10-18 日亜化学工業株式会社 半導体発光素子及びその製造方法
JP2017195371A (ja) * 2016-04-12 2017-10-26 東京エレクトロン株式会社 微細凹状フィーチャのSiO2充填及び触媒表面上への選択的SiO2堆積のための方法
JP2018046279A (ja) * 2016-09-13 2018-03-22 東京エレクトロン株式会社 セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積
JP2019062142A (ja) * 2017-09-28 2019-04-18 東京エレクトロン株式会社 選択成膜方法および半導体装置の製造方法
WO2022210351A1 (ja) * 2021-03-31 2022-10-06 東京エレクトロン株式会社 膜形成方法及び基板処理装置

Families Citing this family (481)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6300219B1 (en) * 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
EP1327010B1 (en) 2000-09-28 2013-12-04 President and Fellows of Harvard College Vapor deposition of silicates
US20070014801A1 (en) * 2001-01-24 2007-01-18 Gish Kurt C Methods of diagnosis of prostate cancer, compositions and methods of screening for modulators of prostate cancer
WO2003083167A1 (en) 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
DE10234735A1 (de) * 2002-07-30 2004-02-12 Infineon Technologies Ag Verfahren zum vertikalen Strukturieren von Substraten in der Halbleiterprozesstechnik mittels inkonformer Abscheidung
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
DE10361697B4 (de) * 2003-12-30 2011-08-11 Infineon Technologies AG, 81669 Verfahren zum Herstellen einer Grabenstruktur mit Oxidationsauskleidung, zum Herstellen einer integrierten Halbleiterschaltungsanordnung oder eines Chips, zum Herstellen eines Halbleiterbauelements sowie mit diesem Verfahren hergestellte integrierte Halbleiterschaltungsanordnung, hergestellter Chip, hergestelltes Halbleiterbauelement
US7053010B2 (en) * 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
DE102004028030B4 (de) 2004-06-09 2006-07-27 Infineon Technologies Ag Katalytisches Beschichtungsverfahren für strukturierte Substratoberflächen und mit einer Siliziumdioxid-Dünnschicht beschichtetes Substrat mit einer strukturierten Oberfläche
DE102004028031A1 (de) * 2004-06-09 2006-01-05 Infineon Technologies Ag Selektives Beschichtungsverfahren und Dünnschichtsystem
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
FI117247B (fi) * 2004-06-24 2006-08-15 Beneq Oy Materiaalin seostaminen selektiivisesti
US20050287826A1 (en) * 2004-06-29 2005-12-29 Abell Thomas J Method of sealing low-k dielectrics and devices made thereby
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7235459B2 (en) * 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7064227B1 (en) * 2004-12-09 2006-06-20 Air Products And Chemicals, Inc. Precursors for silica or metal silicate films
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7217634B2 (en) * 2005-02-17 2007-05-15 Micron Technology, Inc. Methods of forming integrated circuitry
US7510966B2 (en) * 2005-03-07 2009-03-31 Micron Technology, Inc. Electrically conductive line, method of forming an electrically conductive line, and method of reducing titanium silicide agglomeration in fabrication of titanium silicide over polysilicon transistor gate lines
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US8012847B2 (en) * 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
KR100675897B1 (ko) * 2005-09-30 2007-02-02 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성 방법
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
FI122323B (fi) * 2006-06-22 2011-11-30 Beneq Oy Menetelmä kuituaihion valmistamiseksi
DE102006028921A1 (de) * 2006-06-23 2007-12-27 Robert Bosch Gmbh Verfahren zur Herstellung eines Siliziumsubstrats mit veränderten Oberflächeneigenschaften sowie ein derartiges Siliziumsubstrat
JP2008010739A (ja) * 2006-06-30 2008-01-17 Toshiba Corp 半導体装置およびその製造方法
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US7737047B2 (en) * 2006-08-25 2010-06-15 Micron Technology, Inc. Semiconductor constructions, and methods of forming dielectric materials
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080138624A1 (en) * 2006-12-06 2008-06-12 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US20080138538A1 (en) * 2006-12-06 2008-06-12 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US7781031B2 (en) * 2006-12-06 2010-08-24 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8624050B2 (en) * 2007-06-22 2014-01-07 General Electric Company Solution process for transparent conductive oxide coatings
KR100849725B1 (ko) * 2007-06-28 2008-08-01 주식회사 하이닉스반도체 급속 증기 증착법을 이용한 반도체 소자의 소자분리막형성방법
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
TWI471449B (zh) 2007-09-17 2015-02-01 Air Liquide 用於gst膜沈積之碲前驅物
US8101237B2 (en) 2008-05-29 2012-01-24 L'Air Liquide SociétéAnonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8802194B2 (en) 2008-05-29 2014-08-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011027321A1 (en) 2009-09-02 2011-03-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Dihalide germanium(ii) precursors for germanium-containing film depositions
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8105956B2 (en) * 2009-10-20 2012-01-31 Micron Technology, Inc. Methods of forming silicon oxides and methods of forming interlevel dielectrics
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
WO2011095849A1 (en) 2010-02-03 2011-08-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
EP2444406A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444407A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444405A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444404A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
EP2484802B1 (en) * 2011-02-07 2017-03-29 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of deposition of Al2O3/SiO2 stacks from DMAI and silicon precursors
US20130330936A1 (en) * 2011-02-07 2013-12-12 Technische Universiteit Eindhoven METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
EP2484803B1 (en) * 2011-02-07 2017-03-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from aluminium and silicon precursors
EP2484801B1 (en) * 2011-02-07 2017-08-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from TMA or TEA and silicon precursors
US20120263876A1 (en) * 2011-02-14 2012-10-18 Asm Ip Holding B.V. Deposition of silicon dioxide on hydrophobic surfaces
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9206507B2 (en) 2011-09-27 2015-12-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Nickel bis diazabutadiene precursors, their synthesis, and their use for nickel containing films depositions
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101259570B1 (ko) * 2011-11-11 2013-04-30 포항공과대학교 산학협력단 접촉각 조절용 기판 및 그 제조방법
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8759172B2 (en) 2012-04-18 2014-06-24 International Business Machines Corporation Etch stop layer formation in metal gate process
CN102703880B (zh) * 2012-06-12 2014-01-15 浙江大学 利用原子层沉积制备高精度光学宽带抗反射多层膜的方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
KR101970361B1 (ko) 2012-08-20 2019-04-19 삼성디스플레이 주식회사 유기 발광 표시 장치 및 이의 제조방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) * 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
CN104124197B (zh) * 2013-04-24 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9765429B2 (en) 2013-09-04 2017-09-19 President And Fellows Of Harvard College Growing films via sequential liquid/vapor phases
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9029272B1 (en) * 2013-10-31 2015-05-12 Asm Ip Holding B.V. Method for treating SiOCH film with hydrogen plasma
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN104900779B (zh) * 2015-06-25 2018-10-19 苏州纳维科技有限公司 一种iii-v族半导体单晶衬底孔洞消除之后的表面结构及其制备方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9773698B2 (en) 2015-09-30 2017-09-26 International Business Machines Corporation Method of manufacturing an ultra low dielectric layer
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170117144A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Chemical Infiltration into Porous Dielectric Films
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN114709561A (zh) * 2016-04-08 2022-07-05 达拉米克有限责任公司 铅酸电池隔板、增强型富液式电池、车辆及相关方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10083834B2 (en) 2016-09-30 2018-09-25 Applied Materials, Inc. Methods of forming self-aligned vias
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
JP2020501344A (ja) 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10424507B2 (en) 2017-04-04 2019-09-24 Mirocmaterials LLC Fully self-aligned via
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10453737B2 (en) 2017-04-11 2019-10-22 Tokyo Electron Limited Method of filling retrograde recessed features with no voids
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11315828B2 (en) * 2018-08-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide composite as etch stop layer
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
CN110286440B (zh) * 2019-05-20 2021-06-11 武汉光迅科技股份有限公司 平面光波导芯片的制作方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN110534417B (zh) * 2019-07-26 2021-12-21 中国科学院微电子研究所 硅基半导体与化合物半导体异构集成方法及异构集成器件
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11437274B2 (en) 2019-09-25 2022-09-06 Micromaterials Llc Fully self-aligned via
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03177560A (ja) * 1989-12-06 1991-08-01 Nippon Steel Corp 電気絶縁性板状材料の製造方法
JP2001074931A (ja) * 1999-08-31 2001-03-23 Nikon Corp 光学薄膜及び光学素子及び光学装置
JP2001200363A (ja) * 1999-12-03 2001-07-24 Asm Microchemistry Oy テクスチャ加工されたキャパシタ電極上のコンフォーマル薄膜
JP2002541332A (ja) * 1999-04-14 2002-12-03 アーサー シャーマン シーケンシャル化学気相成長法
JP2003517731A (ja) * 1999-12-17 2003-05-27 ジエヌス・インコーポレイテツド 原子層堆積中の寄生化学気相成長を最小限に抑える装置と方法

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS56119134A (en) * 1980-02-25 1981-09-18 Copyer Co Ltd Electrophotographic receptor
US4386117A (en) 1981-11-20 1983-05-31 Gordon Roy G Coating process using alkoxy substituted silicon-bearing reactant
JPS5916978A (ja) 1982-07-20 1984-01-28 Tokyo Denshi Kagaku Kabushiki 金属被膜の選択的エツチング方法
US4512862A (en) * 1983-08-08 1985-04-23 International Business Machines Corporation Method of making a thin film insulator
JPH068509B2 (ja) 1985-09-17 1994-02-02 勝 岡田 強誘電体薄膜の製造方法
US4723978A (en) 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
US4956323A (en) 1987-11-30 1990-09-11 The Dow Chemical Company Catalysts prepared from tetrakis(dialkylamide or diarylamide) derivatives of titanium and polymerization of olefins therewith
US5139825A (en) 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5064686A (en) 1990-10-29 1991-11-12 Olin Corporation Sub-valent molybdenum, tungsten, and chromium amides as sources for thermal chemical vapor deposition of metal-containing films
JP2771347B2 (ja) 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
EP0560617A3 (en) 1992-03-13 1993-11-24 Kawasaki Steel Co Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
JPH06140390A (ja) 1992-09-10 1994-05-20 Kawasaki Steel Corp 半導体装置の製造装置
JP3190745B2 (ja) * 1992-10-27 2001-07-23 株式会社東芝 気相成長方法
DE4316883C2 (de) 1993-05-19 1996-01-25 Michael Dr Denk Silylen, Verfahren zur Herstellung von Silylen oder Carben sowie die Verwendung des Silylens
JP2965188B2 (ja) 1993-11-26 1999-10-18 キヤノン販売 株式会社 成膜方法
US5389401A (en) 1994-02-23 1995-02-14 Gordon; Roy G. Chemical vapor deposition of metal oxides
KR0156980B1 (ko) 1995-06-23 1998-12-01 신현주 질화금속 박막증착용 화합물 및 그를 이용한 증착방법
JP3344199B2 (ja) 1996-03-21 2002-11-11 ソニー株式会社 防汚膜形成用組成物および反射防止フィルター
WO1997038355A1 (en) 1996-04-08 1997-10-16 Micrion Corporation Systems and methods for deposition of dielectric films
US6112695A (en) 1996-10-08 2000-09-05 Nano Scale Surface Systems, Inc. Apparatus for plasma deposition of a thin film onto the interior surface of a container
US5710075A (en) * 1996-11-06 1998-01-20 Vanguard International Semiconductor Corporation Method to increase surface area of a storage node electrode, of an STC structure, for DRAM devices
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
EP0905174B1 (en) 1997-09-25 2001-12-19 Mitsubishi Chemical Corporation Deposited plastic film
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6114242A (en) 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
EP0966553B1 (en) 1997-12-10 2004-03-24 Infineon Technologies AG Process for low temperature cvd using bi-amides
US6159855A (en) 1998-04-28 2000-12-12 Micron Technology, Inc. Organometallic compound mixtures in chemical vapor deposition
US6022812A (en) * 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
US6258707B1 (en) * 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
KR100315441B1 (ko) 1999-03-25 2001-11-28 황인길 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6342432B1 (en) 1999-08-11 2002-01-29 Advanced Micro Devices, Inc. Shallow trench isolation formation without planarization mask
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
KR100375229B1 (ko) 2000-07-10 2003-03-08 삼성전자주식회사 트렌치 소자분리 방법
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
EP1327010B1 (en) 2000-09-28 2013-12-04 President and Fellows of Harvard College Vapor deposition of silicates
US6580619B2 (en) * 2000-11-30 2003-06-17 Intel Corporation Multilayer reference plane in package devices
EP1369002A2 (en) * 2001-01-17 2003-12-10 Honeywell International Inc. Adaptor for plastic-leaded chip carrier (plcc) and other surface mount technology (smt) chip carriers
US6653200B2 (en) 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
US20030129087A1 (en) 2001-06-13 2003-07-10 The Regents Of The University Of California Ordered adsorbed layers of nano particulate materials on structured nano-laminate templates
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
WO2003083167A1 (en) 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
DE10248980B4 (de) 2002-10-21 2004-11-11 Infineon Technologies Ag Verfahren zur Herstellung strukturierter Schichten aus Siliziumdioxid auf senkrecht oder geneigt zu einer Substratoberfläche angeordneten Prozessflächen
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP3698163B1 (ja) 2003-09-19 2005-09-21 三菱マテリアル株式会社 ハフニウム含有膜形成材料及び該材料から作製されたハフニウム含有薄膜の製造方法
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
KR20050039421A (ko) 2003-10-25 2005-04-29 삼성전자주식회사 반도체 장치의 박막 형성 방법
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7064227B1 (en) 2004-12-09 2006-06-20 Air Products And Chemicals, Inc. Precursors for silica or metal silicate films
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
DE102006000823A1 (de) 2006-01-05 2007-07-12 H. C. Starck Gmbh & Co. Kg Wolfram- und Molybdän-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03177560A (ja) * 1989-12-06 1991-08-01 Nippon Steel Corp 電気絶縁性板状材料の製造方法
JP2002541332A (ja) * 1999-04-14 2002-12-03 アーサー シャーマン シーケンシャル化学気相成長法
JP2001074931A (ja) * 1999-08-31 2001-03-23 Nikon Corp 光学薄膜及び光学素子及び光学装置
JP2001200363A (ja) * 1999-12-03 2001-07-24 Asm Microchemistry Oy テクスチャ加工されたキャパシタ電極上のコンフォーマル薄膜
JP2003517731A (ja) * 1999-12-17 2003-05-27 ジエヌス・インコーポレイテツド 原子層堆積中の寄生化学気相成長を最小限に抑える装置と方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012140646A (ja) * 2010-12-28 2012-07-26 Innovation & Infinity Global Corp 拡散ブロッキング構造、透明導電構造及びその製造方法
WO2012141031A1 (ja) * 2011-04-11 2012-10-18 日亜化学工業株式会社 半導体発光素子及びその製造方法
JPWO2012141031A1 (ja) * 2011-04-11 2014-07-28 日亜化学工業株式会社 半導体発光素子及びその製造方法
US9196803B2 (en) 2011-04-11 2015-11-24 Nichia Corporation Semiconductor light emitting element and method for manufacturing the same
JP6007897B2 (ja) * 2011-04-11 2016-10-19 日亜化学工業株式会社 半導体発光素子及びその製造方法
JP2017195371A (ja) * 2016-04-12 2017-10-26 東京エレクトロン株式会社 微細凹状フィーチャのSiO2充填及び触媒表面上への選択的SiO2堆積のための方法
KR101917027B1 (ko) 2016-04-12 2018-11-08 도쿄엘렉트론가부시키가이샤 미세 리세스 피처의 SiO2 충전 및 촉매 표면 상의 선택적 SiO2 성막을 위한 방법
JP2018046279A (ja) * 2016-09-13 2018-03-22 東京エレクトロン株式会社 セルフアセンブル単層表面前処理を用いた選択的金属酸化物堆積
JP2019062142A (ja) * 2017-09-28 2019-04-18 東京エレクトロン株式会社 選択成膜方法および半導体装置の製造方法
WO2022210351A1 (ja) * 2021-03-31 2022-10-06 東京エレクトロン株式会社 膜形成方法及び基板処理装置

Also Published As

Publication number Publication date
CN100360710C (zh) 2008-01-09
AU2003228402A1 (en) 2003-10-13
US20110281417A1 (en) 2011-11-17
US8536070B2 (en) 2013-09-17
CN1650044A (zh) 2005-08-03
WO2003083167A1 (en) 2003-10-09
EP1490529A1 (en) 2004-12-29
KR20040097219A (ko) 2004-11-17
JP4959921B2 (ja) 2012-06-27
US8008743B2 (en) 2011-08-30
KR100996816B1 (ko) 2010-11-25
US20050112282A1 (en) 2005-05-26

Similar Documents

Publication Publication Date Title
JP4959921B2 (ja) 二酸化珪素ナノラミネートの蒸着
TWI720741B (zh) 用於形成碳摻雜氧化矽膜的矽前驅物化合物及方法、使用及容納有該化合物的用途及容器
JP4987083B2 (ja) Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
US7288463B1 (en) Pulsed deposition layer gap fill with expansion material
KR101161074B1 (ko) 기판상에 실리콘 옥사이드 층을 형성시키는 방법
TWI634229B (zh) 於多孔低介電常數膜上提供孔密封層的方法和組合物
TWI534290B (zh) 透過自由基化成份化學氣相沉積形成的共形層
TW202018116A (zh) 含矽膜的高溫原子層沉積
US20080032064A1 (en) Selective sealing of porous dielectric materials
WO2013036667A2 (en) Flowable silicon-carbon-nitrogen layers for semiconductor processing
JP2013070077A (ja) シリコン酸化物含有膜の形成方法
TWI762809B (zh) 具有高碳含量的含矽膜的製造方法
EP3902938A1 (en) Compositions and methods using same for silicon containing films
TWI721588B (zh) 含矽膜的高溫原子層沉積
TW201443274A (zh) 使用二矽氧烷先質之膜的沉積
JP2024508907A (ja) シリコン及びホウ素を含む膜用の組成物及びそれを用いる方法
TW202348590A (zh) 用於碳摻雜的含矽膜的組合物及使用其的方法
WO2024081357A1 (en) Low temperature si-containing films deposited from chlorosilane and aminosilane reactions

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060328

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060328

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090623

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090917

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090929

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100406

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100706

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100713

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101001

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110301

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111011

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111027

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120221

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120322

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150330

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250