JP2005521792A5 - - Google Patents

Download PDF

Info

Publication number
JP2005521792A5
JP2005521792A5 JP2003580596A JP2003580596A JP2005521792A5 JP 2005521792 A5 JP2005521792 A5 JP 2005521792A5 JP 2003580596 A JP2003580596 A JP 2003580596A JP 2003580596 A JP2003580596 A JP 2003580596A JP 2005521792 A5 JP2005521792 A5 JP 2005521792A5
Authority
JP
Japan
Prior art keywords
metal
substrate
layer
silica
article
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003580596A
Other languages
English (en)
Other versions
JP2005521792A (ja
JP4959921B2 (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2003/009736 external-priority patent/WO2003083167A1/en
Publication of JP2005521792A publication Critical patent/JP2005521792A/ja
Publication of JP2005521792A5 publication Critical patent/JP2005521792A5/ja
Application granted granted Critical
Publication of JP4959921B2 publication Critical patent/JP4959921B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Claims (81)

  1. ルイス酸特性を有する金属または半金属化合物を含有する領域を含む加熱された基板をシラノール蒸気にさらして、基板の酸性領域上に2nmを超える厚さを有するシリカ層を形成することを含む、基板上にシリカ層を形成する方法。
  2. 前記シラノール蒸気が基板の酸性領域を飽和させるために十分な量でさらされる請求項1に記載の方法。
  3. 金属または半金属化合物が、基板を金属または半金属化合物の蒸気にさらすことにより、基板上に層として蒸着する請求項1に記載の方法。
  4. 基板がアルミニウム金属またはアルミニウム含有化合物を含む酸性領域を包含する請求項1に記載の方法。
  5. 各蒸着サイクルが、
    (a)基板を、ルイス酸特性を有する金属または半金属化合物の蒸気にさらして、少なくとも基板の領域上に金属または半金属化合物層を蒸着すること、および
    (b)加熱された基板をシラノール蒸気にさらし、該シラノール蒸気は金属または半金属化合物層上に蒸着して、2nmを超える厚さを有する層を少なくとも1サイクルの間に形成するシリカ層を形成すること、
    を含む複数の蒸着サイクルを基板に受けさせることを含む、基板上にシリカ・ナノラミネートを蒸着させる方法。
  6. シリカ・ナノラミネートが約2〜15nm範囲の厚さを有するシリカおよび0.1nm以上の厚さを有するアルミナの交互層を含む請求項5に記載の方法。
  7. 前記シラノール蒸気が金属または半金属化合物の層を飽和させるために十分な量でさらされる請求項5に記載の方法。
  8. 約5nmを超える厚さを有するシリカ層が少なくとも1サイクルの間に形成される請求項1または5に記載の方法。
  9. 約10nmを超える厚さを有するシリカ層が少なくとも1サイクルの間に形成される請求項5に記載の方法。
  10. 約12nmを超える厚さを有するシリカ層が少なくとも1サイクルの間に形成される請求項5に記載の方法。
  11. 約15nm以下の厚さを有するシリカ層が少なくとも1サイクルの間に形成される請求項1または5に記載の方法。
  12. 蒸着サイクルが、さらに、
    (c)段階(a)において形成される金属または半金属化合物を酸素含有蒸気またはガスにさらすこと、
    を含む請求項5に記載の方法。
  13. 段階(a)および(c)が段階(b)の前で1回以上繰り返される請求項12に記載の方法。
  14. 酸素含有ガスが水およびアルコールの一つである請求項5に記載の方法。
  15. 金属または半金属化合物が金属アミド、アルキル金属、金属アルコキシドおよび金属ハロゲン化物からなる群から選択される請求項1または5に記載の方法。
  16. 金属または半金属がアルミニウム、ホウ素、マグネシウム、スカンジウム、ランタン、イットリウム、ジルコニウムおよびハフニウムからなる群から選択される、請求項15に記載の方法。
  17. シラノールが、式、
    Figure 2005521792
    を有する、請求項1または5に記載の方法であって、
    式中R1〜R9は、包括的に、水素、アルキル基、フルオロアルキル基、または他の非金属原子または基により置換されるアルキル基を示し、R1〜R9は同じかまたは異なる。
  18. シラノールが、式、
    Figure 2005521792
    を有する、請求項1または5に記載の方法であって、
    式中、R1〜R6は、包括的に、水素、アルキル基、フルオロアルキル基、または他の非金属原子または基により置換されるアルキル基を示し、R1〜R6は同じかまたは異なる。
  19. シラノール蒸気が、式、
    Figure 2005521792
    を有する、請求項1または5に記載の方法であって、
    式中、R1〜R7は、包括的に、水素、アルキル基、フルオロアルキル基、または他の非金属原子または基により置換されるアルキル基を示し、R1〜R7は同じかまたは異なる。
  20. 1〜R9基が1〜4間の炭素を含有し、同じかまたは異なる請求項17に記載の方法。
  21. 段階(b)の暴露が、段階(a)において提供される金属または半金属化合物のモル/cm2での投与量よりも少なくとも10倍大きいアルコキシシラノールまたはアルコキシシランジオール蒸気のモル/cm2での投与量を提供する、請求項1または5に記載の方法。
  22. アルコキシシラノールまたはアルコキシシランジオール蒸気の投与量が、金属または半金属化合物の投与量の少なくとも100倍である請求項21に記載の方法。
  23. そこに壁および内部空間を有する少なくとも一つのくぼみを有する基板を提供し、
    各蒸着サイクルが、
    (a)加熱された基板を、ルイス酸特性を有する金属または半金属化合物の蒸気にさらして、くぼみの壁上に金属または半金属化合物の等角層を蒸着すること、および
    (b)加熱された基板をシラノール蒸気にさらし、該シラノール蒸気は金属または半金属化合物の等角層上に蒸着し、2nmを超える厚さを有するシリカ層が少なくとも1サイクルの間に形成されると共に、くぼみの内部空間がくぼみの中での空隙形成なしに埋め込まれること、
    を含む1回以上の蒸着サイクルを基板に受けさせることを含む、基板の外表面上の穴または溝を埋め込む方法。
  24. 段階(b)の暴露が、段階(a)において提供される金属または半金属化合物のモル/cm2での投与量よりも少なくとも10倍大きいアルコキシシラノールまたはアルコキシシランジオール蒸気のモル/cm2での投与量を提供する、請求項23に記載の方法。
  25. アルコキシシラノールまたはアルコキシシランジオール蒸気の投与量が、金属または半金属化合物の投与量の少なくとも100倍である請求項24に記載の方法。
  26. シリカ・ナノラミネートがくぼみを埋め込むと共に、シリカ・ナノラミネートが約2〜15nm範囲の厚さを有するシリカおよび約0.1nm以上の厚さを有するアルミナの交互層を含む、請求項23に記載の方法。
  27. 2回以上の蒸着サイクルが用いられる請求項23に記載の方法。
  28. 蒸着サイクルが、さらに、
    (d)段階(a)において蒸着される金属または半金属化合物層を酸素含有蒸気またはガスにさらすこと、
    を含む請求項23に記載の方法。
  29. 酸素含有ガスが水およびアルコールの一つである請求項28に記載の方法。
  30. シラノールが、式、
    Figure 2005521792
    を有する、請求項23に記載の方法であって、
    式中R1〜R9は、包括的に、水素、アルキル基、フルオロアルキル基、または他の非金属原子または基により置換されるアルキル基を示し、R1〜R9は同じかまたは異なる。
  31. 1〜R9基が1〜4間の炭素を含有し、同じかまたは異なる請求項30に記載の方法。
  32. 金属または半金属化合物が金属アミド、アルキル金属、金属アルコキシドおよび金属ハロゲン化物からなる群から選択される請求項23に記載の方法。
  33. 金属または半金属がアルミニウム、ホウ素、マグネシウム、スカンジウム、ランタン、イットリウム、ジルコニウムまたはハフニウムからなる群から選択される、請求項29に記載の方法。
  34. シリカ層が選択的にくぼみ内に蒸着する請求項23に記載の方法。
  35. シリカ層が選択的に蒸着すると共に、選択的蒸着が、
    (e)段階(a)の暴露の前に、該領域が少なくとも一つの陥凹部を含み、シリカ形成が望まれる基板の少なくとも一つの領域をさらすために基板をマスキングし、
    (f)段階(a)の暴露後に、金属または半金属化合物層の前記領域を有する基板を提供するために基板マスクを除去すること、および
    段階(b)におけるように段階(f)の基板をシラノール蒸気にさらす間に、シラノール蒸気が金属または半金属化合物層の前記領域上に優先的に蒸着すること、
    を含む請求項23に記載の方法。
  36. 複数の隣接能動素子領域を有する半導体基板、隣接素子領域間の半導体基板内に組み込まれる溝、および
    等角的におよび空隙なしで溝を埋める、約2〜15nm範囲の厚さを有するシリカおよび約0.1nm以上の厚さを有するアルミナの交互層を含むシリカ・ナノラミネート材料、
    を含む集積回路。
  37. 溝埋め込みシリカ・ナノラミネートが基板の熱膨張率に適合するために十分な量のアルミナを含む請求項36に記載の回路。
  38. 溝埋め込みシリカ・ナノラミネートが珪素上に熱的に成長したシリカのフッ化水素酸エッチング速度に適合するために十分な量のアルミナを含む請求項36に記載の回路。
  39. そこに側壁および基板の外表面に開放された内部空間を有する少なくとも一つの孔を有する基板を提供し、
    基板に1回以上の蒸着サイクルを受けさせ、各蒸着サイクルが、
    (a)基板を、基板の外表面に近接する孔の部分が金属または半金属化合物により被覆され内部部分は被覆されないように、ルイス酸特性を有する金属または半金属化合物の蒸気にさらし、および
    (b)加熱された基板を、金属または半金属化合物上に優先的に蒸着するアルコキシシラノールまたはアルコキシシランジール蒸気の一つを含むシラノール蒸気にさらして、
    基板の外表面上の孔開口部を閉じるために十分な厚さのシリカ層を形成すること、
    を含む1回以上の蒸着サイクルを基板に受けさせることを含む、基板の外表面中の孔を密封する方法。
  40. 蒸着サイクルが1回以上繰り返される請求項39に記載の方法。
  41. 基板の外表面に近接する孔部分が、低ステップカバレージを有する蒸着法を用いて、金属または半金属化合物により被覆される請求項39に記載の方法。
  42. 金属または半金属化合物が、孔の前記近接部分への蒸気の侵入を限定する条件下での化学蒸着を用いて蒸着する請求項39に記載の方法。
  43. さらに、段階(b)において基板をシラノール蒸気にさらす前に、基板の外表面から蒸着した金属または半金属化合物層を除去し、一方で蒸着した材料を孔の近接部分上に残すことを含む、請求項39に記載の方法。
  44. 金属または半金属化合物が、一緒のまたは後の酸化を伴い金属または半金属の酸化物または水酸化物を生成する金属または半金属の物理蒸着により蒸着する、請求項39に記載の方法。
  45. 段階(b)の暴露が、段階(a)において提供される金属または半金属化合物の投与量モル/cm2の少なくとも10倍であるアルコキシシラノールまたはアルコキシシランジオール蒸気のモル/cm2での投与量を提供する、請求項39に記載の方法。
  46. アルコキシシラノールまたはアルコキシシランジオール蒸気の投与量が、金属または半金属化合物の投与量の少なくとも100倍である請求項45に記載の方法。
  47. 蒸着サイクルが、さらに、
    (d)段階(a)において形成される金属または半金属化合物層を酸素含有蒸気またはガスにさらすこと、
    を含む請求項39に記載の方法。
  48. 酸素含有ガスが水およびアルコールの一つである請求項47に記載の方法。
  49. シラノールが、式、
    Figure 2005521792
    を有する、請求項39に記載の方法であって、
    式中R1〜R9は、包括的に、水素、アルキル基、フルオロアルキル基、または他の非金属原子または基により置換されるアルキル基を示し、R1〜R9は同じかまたは異なる。
  50. 1〜R9基が1〜4間の炭素を含有し、同じかまたは異なる請求項49に記載の方法。
  51. 金属または半金属化合物が金属アミド、アルキル金属、金属アルコキシドおよび金属ハロゲン化物からなる群から選択される請求項39に記載の方法。
  52. 金属または半金属がアルミニウム、ホウ素、マグネシウム、スカンジウム、ランタン、イットリウム、ジルコニウムまたはハフニウムからなる群から選択される、請求項51に記載の方法。
  53. 基板の外表面上に第1触媒性材料を蒸着させ、基板および触媒性材料を第2反応性化合物の蒸気にさらし、そこで第1触媒性材料および第2反応性化合物が反応して、孔内部の少なくとも一部を空隙空間として残しながら孔の表面開口部を覆う薄層を形成すること、
    を含む基板の外表面上に存在する孔を密封するための方法。
  54. 該多孔質層の孔の、層の外表面に対する開口部を占拠するシリカプラグを含み、それによって該孔の開口部が少なくとも孔内部の一部を空隙として残しながら該シリカプラグにより密封される多孔質層をその上に有する基板、
    を含む4未満の誘電率を持つ層を有する物品。
  55. シリカプラグが約15nm以下の厚さを有するシリカを含む請求項54に記載の物品。
  56. シリカプラグが基板の全表面上に密封層を形成する請求項54に記載の物品。
  57. シリカプラグが実質的に層表面での孔開口部の内部のみに密封層を形成する請求項54に記載の物品。
  58. 非平面表面を有する基盤を含み、この非平面表面の少なくとも一部がシリカ・ナノラミネート材料で被覆されており、このシリカ・ナノラミネートがルイス酸性金属もしくは半金属を含む少なくとも1つの層及び厚さが約2nmより大きい少なくとも1つのシリカ層を含む、被覆された物品。
  59. 前記非平面表面が内部空間及び側壁を含む外観を含み、この側壁にシリカ・ナノラミネート材料が被覆されている、請求項58記載の物品。
  60. 前記外観が穴を含む、請求項59記載の物品。
  61. 前記外観が溝を含む、請求項59記載の物品。
  62. 前記外観が6より大きいアスペクト比を有する、請求項59記載の物品。
  63. 前記外観の内部空間が空隙を実質的に含まない、請求項59記載の物品。
  64. 前記表面が回旋状の形状を含む、請求項58記載の物品。
  65. 前記表面が複雑な機械構造の表面を含む、請求項58記載の物品。
  66. 前記ルイス酸性金属もしくは半金属が、アルミニウム、硼素、マグネシウム、スカンジウム、ランタン、イットリウム、ジルコニウム、及びハフニウムからなる群より選ばれる、請求項58記載の物品。
  67. ルイス酸性金属もしくは半金属を含む層がアルミニウムを含む、請求項58記載の物品。
  68. 非平面表面を有する基盤を含み、この非平面表面の少なくとも一部がシリカ・ナノラミネート材料で被覆されており、このシリカ・ナノラミネートがルイス酸性金属もしくは半金属を含む少なくとも1つのALD蒸着層及び厚さが約2nmより大きい少なくとも1つのALD蒸着層を含む、被覆された物品。
  69. 前記非平面表面が内部空間及び側壁を含む外観を含み、この側壁にシリカ・ナノラミネート材料が被覆されている、請求項68記載の物品。
  70. 前記外観が穴を含む、請求項69記載の物品。
  71. 前記外観が溝を含む、請求項69記載の物品。
  72. 前記外観が6より大きいアスペクト比を有する、請求項69記載の物品。
  73. 前記外観の内部空間が空隙を実質的に含まない、請求項69記載の物品。
  74. 前記ルイス酸性金属もしくは半金属が、アルミニウム、硼素、マグネシウム、スカンジウム、ランタン、イットリウム、ジルコニウム、及びハフニウムからなる群より選ばれる、請求項68記載の物品。
  75. ルイス酸性金属もしくは半金属を含む層がアルミニウムを含む、請求項68記載の物品。
  76. ルイス酸性金属もしくは半金属を含む少なくとも1つの層及び厚さが約2nmより大きい少なくとも1つのシリカ層を含むシリカ・ナノラミネート層を含み、前記シリカ・ナノラミネート層が
    (a)加熱された物品を、ルイス酸特性を有する金属または半金属化合物の蒸気にさらして、物品上に金属または半金属化合物を含む層を蒸着すること、および
    (b)加熱された物品をシラノール蒸気にさらし、このシラノール蒸気を金属または半金属化合物の層上に蒸着させること、
    を含む複数の蒸着サイクルを物品に受けさせることにより製造される、被覆された物品。
  77. 前記シリカ・ナノラミネートが約2〜15nm範囲の厚さを有するシリカの層と0.1nm以上の厚さを有するアルミナの層の交互層を含む請求項76に記載の物品。
  78. 約5nmを超える厚さを有するシリカ層が少なくとも1サイクルの間に形成される請求項76に記載の物品。
  79. 約10nmを超える厚さを有するシリカ層が少なくとも1サイクルの間に形成される請求項76に記載の物品。
  80. 約12nmを超える厚さを有するシリカ層が少なくとも1サイクルの間に形成される請求項76に記載の物品。
  81. 約15nm以下の厚さを有するシリカ層が少なくとも1サイクルの間に形成される請求項76に記載の物品。
JP2003580596A 2002-03-28 2003-03-28 二酸化珪素ナノラミネートの蒸着 Expired - Lifetime JP4959921B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US36829202P 2002-03-28 2002-03-28
US60/368,292 2002-03-28
US41561702P 2002-10-02 2002-10-02
US60/415,617 2002-10-02
PCT/US2003/009736 WO2003083167A1 (en) 2002-03-28 2003-03-28 Vapor deposition of silicon dioxide nanolaminates

Publications (3)

Publication Number Publication Date
JP2005521792A JP2005521792A (ja) 2005-07-21
JP2005521792A5 true JP2005521792A5 (ja) 2006-05-25
JP4959921B2 JP4959921B2 (ja) 2012-06-27

Family

ID=28678229

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003580596A Expired - Lifetime JP4959921B2 (ja) 2002-03-28 2003-03-28 二酸化珪素ナノラミネートの蒸着

Country Status (7)

Country Link
US (2) US8008743B2 (ja)
EP (1) EP1490529A1 (ja)
JP (1) JP4959921B2 (ja)
KR (1) KR100996816B1 (ja)
CN (1) CN100360710C (ja)
AU (1) AU2003228402A1 (ja)
WO (1) WO2003083167A1 (ja)

Families Citing this family (487)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6300219B1 (en) * 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
EP1772534A3 (en) 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
US20070014801A1 (en) * 2001-01-24 2007-01-18 Gish Kurt C Methods of diagnosis of prostate cancer, compositions and methods of screening for modulators of prostate cancer
EP1490529A1 (en) 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
DE10234735A1 (de) * 2002-07-30 2004-02-12 Infineon Technologies Ag Verfahren zum vertikalen Strukturieren von Substraten in der Halbleiterprozesstechnik mittels inkonformer Abscheidung
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
DE10361697B4 (de) * 2003-12-30 2011-08-11 Infineon Technologies AG, 81669 Verfahren zum Herstellen einer Grabenstruktur mit Oxidationsauskleidung, zum Herstellen einer integrierten Halbleiterschaltungsanordnung oder eines Chips, zum Herstellen eines Halbleiterbauelements sowie mit diesem Verfahren hergestellte integrierte Halbleiterschaltungsanordnung, hergestellter Chip, hergestelltes Halbleiterbauelement
US7053010B2 (en) * 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7582555B1 (en) * 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
DE102004028031A1 (de) * 2004-06-09 2006-01-05 Infineon Technologies Ag Selektives Beschichtungsverfahren und Dünnschichtsystem
DE102004028030B4 (de) 2004-06-09 2006-07-27 Infineon Technologies Ag Katalytisches Beschichtungsverfahren für strukturierte Substratoberflächen und mit einer Siliziumdioxid-Dünnschicht beschichtetes Substrat mit einer strukturierten Oberfläche
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
FI117247B (fi) * 2004-06-24 2006-08-15 Beneq Oy Materiaalin seostaminen selektiivisesti
US20050287826A1 (en) * 2004-06-29 2005-12-29 Abell Thomas J Method of sealing low-k dielectrics and devices made thereby
US20060038293A1 (en) * 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7064227B1 (en) * 2004-12-09 2006-06-20 Air Products And Chemicals, Inc. Precursors for silica or metal silicate films
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7217634B2 (en) * 2005-02-17 2007-05-15 Micron Technology, Inc. Methods of forming integrated circuitry
US7510966B2 (en) * 2005-03-07 2009-03-31 Micron Technology, Inc. Electrically conductive line, method of forming an electrically conductive line, and method of reducing titanium silicide agglomeration in fabrication of titanium silicide over polysilicon transistor gate lines
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US8012847B2 (en) * 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
KR100675897B1 (ko) * 2005-09-30 2007-02-02 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 형성 방법
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
FI122323B (fi) * 2006-06-22 2011-11-30 Beneq Oy Menetelmä kuituaihion valmistamiseksi
DE102006028921A1 (de) * 2006-06-23 2007-12-27 Robert Bosch Gmbh Verfahren zur Herstellung eines Siliziumsubstrats mit veränderten Oberflächeneigenschaften sowie ein derartiges Siliziumsubstrat
JP2008010739A (ja) * 2006-06-30 2008-01-17 Toshiba Corp 半導体装置およびその製造方法
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US7737047B2 (en) * 2006-08-25 2010-06-15 Micron Technology, Inc. Semiconductor constructions, and methods of forming dielectric materials
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080138624A1 (en) * 2006-12-06 2008-06-12 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US7781031B2 (en) * 2006-12-06 2010-08-24 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US20080138538A1 (en) * 2006-12-06 2008-06-12 General Electric Company Barrier layer, composite article comprising the same, electroactive device, and method
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8624050B2 (en) * 2007-06-22 2014-01-07 General Electric Company Solution process for transparent conductive oxide coatings
KR100849725B1 (ko) * 2007-06-28 2008-08-01 주식회사 하이닉스반도체 급속 증기 증착법을 이용한 반도체 소자의 소자분리막형성방법
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8454928B2 (en) 2007-09-17 2013-06-04 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tellurium precursors for GST deposition
US8802194B2 (en) 2008-05-29 2014-08-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8101237B2 (en) 2008-05-29 2012-01-24 L'Air Liquide SociétéAnonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8636845B2 (en) 2008-06-25 2014-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US8236381B2 (en) 2008-08-08 2012-08-07 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal piperidinate and metal pyridinate precursors for thin film deposition
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8691668B2 (en) 2009-09-02 2014-04-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Dihalide germanium(II) precursors for germanium-containing film depositions
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8105956B2 (en) * 2009-10-20 2012-01-31 Micron Technology, Inc. Methods of forming silicon oxides and methods of forming interlevel dielectrics
US8728958B2 (en) 2009-12-09 2014-05-20 Novellus Systems, Inc. Gap fill integration
WO2011095849A1 (en) 2010-02-03 2011-08-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Chalcogenide-containing precursors, methods of making, and methods of using the same for thin film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
EP2444407A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444404A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444406A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
EP2444405A1 (en) 2010-10-07 2012-04-25 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Metal compounds for deposition of chalcogenide films at low temperature
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP2012140646A (ja) * 2010-12-28 2012-07-26 Innovation & Infinity Global Corp 拡散ブロッキング構造、透明導電構造及びその製造方法
EP2484802B1 (en) * 2011-02-07 2017-03-29 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of deposition of Al2O3/SiO2 stacks from DMAI and silicon precursors
EP2484803B1 (en) * 2011-02-07 2017-03-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from aluminium and silicon precursors
WO2012107138A1 (en) * 2011-02-07 2012-08-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
EP2484801B1 (en) * 2011-02-07 2017-08-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from TMA or TEA and silicon precursors
US20120263876A1 (en) * 2011-02-14 2012-10-18 Asm Ip Holding B.V. Deposition of silicon dioxide on hydrophobic surfaces
WO2012141031A1 (ja) * 2011-04-11 2012-10-18 日亜化学工業株式会社 半導体発光素子及びその製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20140085461A (ko) 2011-09-27 2014-07-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 니켈 비스 디아자부타디엔 전구체, 그들의 합성, 및 니켈 함유 필름 침착을 위한 그들의 용도
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101259570B1 (ko) * 2011-11-11 2013-04-30 포항공과대학교 산학협력단 접촉각 조절용 기판 및 그 제조방법
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8759172B2 (en) 2012-04-18 2014-06-24 International Business Machines Corporation Etch stop layer formation in metal gate process
CN102703880B (zh) * 2012-06-12 2014-01-15 浙江大学 利用原子层沉积制备高精度光学宽带抗反射多层膜的方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
KR101970361B1 (ko) 2012-08-20 2019-04-19 삼성디스플레이 주식회사 유기 발광 표시 장치 및 이의 제조방법
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) * 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
CN104124197B (zh) * 2013-04-24 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
WO2015035066A1 (en) 2013-09-04 2015-03-12 President And Fellows Of Harvard College Growing films via sequential liquid/vapor phases
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9029272B1 (en) * 2013-10-31 2015-05-12 Asm Ip Holding B.V. Method for treating SiOCH film with hydrogen plasma
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN104900779B (zh) * 2015-06-25 2018-10-19 苏州纳维科技有限公司 一种iii-v族半导体单晶衬底孔洞消除之后的表面结构及其制备方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9773698B2 (en) 2015-09-30 2017-09-26 International Business Machines Corporation Method of manufacturing an ultra low dielectric layer
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170117144A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Chemical Infiltration into Porous Dielectric Films
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR20230141924A (ko) * 2016-04-08 2023-10-10 다라믹 엘엘씨 강화 침수형 전지용 개선된 분리기, 전지 및 관련 방법
US10049913B2 (en) 2016-04-12 2018-08-14 Tokyo Electron Limited Methods for SiO2 filling of fine recessed features and selective SiO2 deposition on catalytic surfaces
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10068764B2 (en) * 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
EP3520136A4 (en) * 2016-09-30 2020-05-06 Applied Materials, Inc. METHODS OF FORMING SELF-ALIGNED INTERCONNECT HOLES
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
JP2020501344A (ja) 2016-11-08 2020-01-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニング用途のためのボトムアップ柱状体の形状制御
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10424507B2 (en) 2017-04-04 2019-09-24 Mirocmaterials LLC Fully self-aligned via
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
JP7113651B2 (ja) 2017-04-11 2022-08-05 東京エレクトロン株式会社 逆行的なプロファイルを有する凹状フィーチャのボイドのない充填方法
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10573555B2 (en) 2017-08-31 2020-02-25 Micromaterials Llc Methods of producing self-aligned grown via
US10600688B2 (en) 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP2019062142A (ja) * 2017-09-28 2019-04-18 東京エレクトロン株式会社 選択成膜方法および半導体装置の製造方法
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10790191B2 (en) 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11315828B2 (en) * 2018-08-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide composite as etch stop layer
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN110286440B (zh) * 2019-05-20 2021-06-11 武汉光迅科技股份有限公司 平面光波导芯片的制作方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN110534417B (zh) * 2019-07-26 2021-12-21 中国科学院微电子研究所 硅基半导体与化合物半导体异构集成方法及异构集成器件
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11437274B2 (en) 2019-09-25 2022-09-06 Micromaterials Llc Fully self-aligned via
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140832A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
KR20230157481A (ko) * 2021-03-31 2023-11-16 도쿄엘렉트론가부시키가이샤 막 형성 방법 및 기판 처리 장치
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
JPS56119134A (en) * 1980-02-25 1981-09-18 Copyer Co Ltd Electrophotographic receptor
US4386117A (en) 1981-11-20 1983-05-31 Gordon Roy G Coating process using alkoxy substituted silicon-bearing reactant
JPS5916978A (ja) 1982-07-20 1984-01-28 Tokyo Denshi Kagaku Kabushiki 金属被膜の選択的エツチング方法
US4512862A (en) * 1983-08-08 1985-04-23 International Business Machines Corporation Method of making a thin film insulator
JPH068509B2 (ja) 1985-09-17 1994-02-02 勝 岡田 強誘電体薄膜の製造方法
US4723978A (en) 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
US4956323A (en) 1987-11-30 1990-09-11 The Dow Chemical Company Catalysts prepared from tetrakis(dialkylamide or diarylamide) derivatives of titanium and polymerization of olefins therewith
US5139825A (en) 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
JPH03177560A (ja) * 1989-12-06 1991-08-01 Nippon Steel Corp 電気絶縁性板状材料の製造方法
US5064686A (en) 1990-10-29 1991-11-12 Olin Corporation Sub-valent molybdenum, tungsten, and chromium amides as sources for thermal chemical vapor deposition of metal-containing films
JP2771347B2 (ja) 1991-06-06 1998-07-02 日本電気株式会社 プラズマ化学気相成長法とその装置及び多層配線の製造方法
EP0560617A3 (en) 1992-03-13 1993-11-24 Kawasaki Steel Co Method of manufacturing insulating film on semiconductor device and apparatus for carrying out the same
JPH06140390A (ja) 1992-09-10 1994-05-20 Kawasaki Steel Corp 半導体装置の製造装置
JP3190745B2 (ja) * 1992-10-27 2001-07-23 株式会社東芝 気相成長方法
DE4316883C2 (de) 1993-05-19 1996-01-25 Michael Dr Denk Silylen, Verfahren zur Herstellung von Silylen oder Carben sowie die Verwendung des Silylens
JP2965188B2 (ja) 1993-11-26 1999-10-18 キヤノン販売 株式会社 成膜方法
US5389401A (en) 1994-02-23 1995-02-14 Gordon; Roy G. Chemical vapor deposition of metal oxides
KR0156980B1 (ko) 1995-06-23 1998-12-01 신현주 질화금속 박막증착용 화합물 및 그를 이용한 증착방법
JP3344199B2 (ja) 1996-03-21 2002-11-11 ソニー株式会社 防汚膜形成用組成物および反射防止フィルター
WO1997038355A1 (en) 1996-04-08 1997-10-16 Micrion Corporation Systems and methods for deposition of dielectric films
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6112695A (en) 1996-10-08 2000-09-05 Nano Scale Surface Systems, Inc. Apparatus for plasma deposition of a thin film onto the interior surface of a container
US5710075A (en) * 1996-11-06 1998-01-20 Vanguard International Semiconductor Corporation Method to increase surface area of a storage node electrode, of an STC structure, for DRAM devices
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
DE69803022T2 (de) 1997-09-25 2002-08-01 Mitsubishi Polyester Film Corp Abgeschiedener Kunststoff-film
US7157385B2 (en) 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6114242A (en) 1997-12-05 2000-09-05 Taiwan Semiconductor Manufacturing Company MOCVD molybdenum nitride diffusion barrier for Cu metallization
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
EP0966553B1 (en) 1997-12-10 2004-03-24 Infineon Technologies AG Process for low temperature cvd using bi-amides
US6159855A (en) 1998-04-28 2000-12-12 Micron Technology, Inc. Organometallic compound mixtures in chemical vapor deposition
US6022812A (en) * 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
US6258707B1 (en) * 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR100315441B1 (ko) 1999-03-25 2001-11-28 황인길 반도체 소자 분리를 위한 얕은 트렌치 제조 방법
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6342432B1 (en) 1999-08-11 2002-01-29 Advanced Micro Devices, Inc. Shallow trench isolation formation without planarization mask
JP2001074931A (ja) * 1999-08-31 2001-03-23 Nikon Corp 光学薄膜及び光学素子及び光学装置
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
KR100375229B1 (ko) 2000-07-10 2003-03-08 삼성전자주식회사 트렌치 소자분리 방법
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
EP1772534A3 (en) * 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
US6580619B2 (en) * 2000-11-30 2003-06-17 Intel Corporation Multilayer reference plane in package devices
EP1369002A2 (en) * 2001-01-17 2003-12-10 Honeywell International Inc. Adaptor for plastic-leaded chip carrier (plcc) and other surface mount technology (smt) chip carriers
US6653200B2 (en) 2001-01-26 2003-11-25 Applied Materials, Inc. Trench fill process for reducing stress in shallow trench isolation
US20030129087A1 (en) 2001-06-13 2003-07-10 The Regents Of The University Of California Ordered adsorbed layers of nano particulate materials on structured nano-laminate templates
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
EP1490529A1 (en) 2002-03-28 2004-12-29 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
DE10248980B4 (de) 2002-10-21 2004-11-11 Infineon Technologies Ag Verfahren zur Herstellung strukturierter Schichten aus Siliziumdioxid auf senkrecht oder geneigt zu einer Substratoberfläche angeordneten Prozessflächen
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP3698163B1 (ja) 2003-09-19 2005-09-21 三菱マテリアル株式会社 ハフニウム含有膜形成材料及び該材料から作製されたハフニウム含有薄膜の製造方法
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
KR20050039421A (ko) 2003-10-25 2005-04-29 삼성전자주식회사 반도체 장치의 박막 형성 방법
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7064227B1 (en) 2004-12-09 2006-06-20 Air Products And Chemicals, Inc. Precursors for silica or metal silicate films
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7135418B1 (en) 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
DE102006000823A1 (de) 2006-01-05 2007-07-12 H. C. Starck Gmbh & Co. Kg Wolfram- und Molybdän-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)

Similar Documents

Publication Publication Date Title
JP2005521792A5 (ja)
JP7406684B2 (ja) 半導体デバイス内の凹状特徴部を低抵抗率金属で充填する方法
KR100996816B1 (ko) 이산화규소 나노라미네이트의 증기증착
JP4048112B2 (ja) 半導体装置の製造方法および半導体装置
KR20180006864A (ko) 주기적 처리를 사용하는 선택적 막 퇴적을 위한 방법 및 장치
US10381234B2 (en) Selective film formation for raised and recessed features using deposition and etching processes
JP2003523624A5 (ja)
CN105401131A (zh) 在多孔低介电常数薄膜上提供孔隙密封层的方法和组合物
JP2007535815A5 (ja)
CN106941103A (zh) Nand存储器的形成方法
CN109326553B (zh) 沟槽隔离结构的形成方法、化学气相沉积工艺
TW200424346A (en) Method for forming silicon dioxide film using siloxane
JP2005064302A5 (ja)
CN107464813A (zh) 一种半导体器件及其制作方法和电子装置
CN105185702A (zh) 高k金属栅极结构的制造方法
CN1269184C (zh) 由二氧化硅制成之铅直图案层之制造方法
JP2020534681A (ja) シリサイド化による金属含有膜の体積膨張
US8049264B2 (en) Method for producing a dielectric material on a semiconductor device and semiconductor device
CN102270567A (zh) 电容器的制作方法
TWI835883B (zh) 以低電阻率金屬填充半導體元件中之凹陷特徵部的方法
JP2009239246A (ja) 絶縁膜の形成方法及び絶縁膜
US20180174897A1 (en) Method of selective deposition for beol dielectric etch
TW200409732A (en) Method for preparation of aluminum oxide thin film
TW202233875A (zh) 利用低電阻金屬填充半導體裝置中之凹陷特徵部的方法
TWI380399B (en) Method of forming semiconductor structure