JP4048112B2 - 半導体装置の製造方法および半導体装置 - Google Patents

半導体装置の製造方法および半導体装置 Download PDF

Info

Publication number
JP4048112B2
JP4048112B2 JP2002521345A JP2002521345A JP4048112B2 JP 4048112 B2 JP4048112 B2 JP 4048112B2 JP 2002521345 A JP2002521345 A JP 2002521345A JP 2002521345 A JP2002521345 A JP 2002521345A JP 4048112 B2 JP4048112 B2 JP 4048112B2
Authority
JP
Japan
Prior art keywords
film
semiconductor device
sinch
interlayer insulating
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002521345A
Other languages
English (en)
Other versions
JP2004507108A (ja
Inventor
基市 鄭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2004507108A publication Critical patent/JP2004507108A/ja
Application granted granted Critical
Publication of JP4048112B2 publication Critical patent/JP4048112B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

【0001】
技術分野
本発明は一般に半導体装置に係り、特に低い比誘電率絶縁膜を有する半導体装置およびその製造方法に関する。
【0002】
微細化技術の進歩に伴い、今日の先端的な半導体集積回路装置では基板上に莫大な数の半導体素子が形成されている。かかる半導体集積回路装置では、基板上の半導体素子間を接続するのに一層の配線層では不十分であり、複数の配線層を層間絶縁膜を介して積層した、いわゆる多層配線構造が使われている。
【0003】
特に最近では、いわゆるデュアルダマシン法による多層配線構造の研究がなされている。デュアルダマシン法では、層間絶縁膜中に配線層に対応した配線溝およびコンタクトホールを予め形成しておき、これを導体で埋めることにより配線層を形成する。かかるデュアルダマシン法では、エッチングストッパおよびメタル拡散バリアとなる絶縁膜の果たす役割が重要である。
【0004】
背景技術
デュアルダマシン法には様々な変形が存在するが、図11A〜11Fは、従来の典型的なデュアルダマシン法による、多層配線構造の形成方法を示す。
【0005】
図11Aを参照するに、Si基板10はCVD−SiOなどの層間絶縁膜11により覆われており、前記層間絶縁膜11上には配線パターン12Aが形成されている。Si基板10は、MOSトランジスタ等、図示しない半導体要素を担持している。
【0006】
前記配線パターン12Aは、前記層間絶縁膜11上に形成された次の層間絶縁膜12B中に埋め込まれて配線層12を形成し、前記配線層12は、SiN等のエッチングストッパ膜13により覆われている。前記エッチングストッパ膜13は、さらに次の層間絶縁膜14により覆われている。
【0007】
図11Aの工程では、前記層間絶縁14上にフォトリソグラフィー工程により、所望のコンタクトホールに対応した開口部18Aを有するレジストパターン18が形成され、前記レジストパターン18をマスクに前記層間絶縁膜14をドライエッチングにより除去し、前記コンタクトホールに対応した開口部14Aを形成する。
【0008】
次に図11Bの工程において前記レジストパターン18を除去し、図11Cの工程において、前記図11Bの構造上にレジスト膜19が、前記開口部14Aを埋めるように塗布される。これをフォトリソグラフィー法によりパターニングし、所望の配線パターンに対応したレジスト開口部19Aをレジスト膜19中に形成する。
【0009】
次に図11Dの工程で、さらに前記レジスト膜19をマスクに、前記レジスト開口部19Aにおいて露出した層間絶縁膜14をドライエッチングによりパターニングし、その後前記レジスト膜19を除去する。かかるパターニングの結果、図11Dに示すように、前記層間絶縁膜14中には所望の配線溝に対応する開口部14Bおよび開口部14Aが形成される。前記開口部14Bは、前記開口部14Aを含むように形成される。
【0010】
さらに図11Eの工程において、前記エッチングストッパ膜13をRIE法によるドライエッチングにより除去し、前記配線パターン12Aを露出する。
【0011】
次に図11Fの工程において、前記配線溝14Bおよび開口部14AをAlあるいはCu等の導電膜で充填し、さらにこれを化学機械研磨(CMP)することにより、配線パターン12Aとコンタクトホール14Aで接続された配線パターン20が得られる。
【0012】
これらの工程をさらに繰り返すことにより、3層目、4層目の配線パターンを形成することが可能である。
【0013】
このような半導体装置で使われる多層配線構造では、前記層間絶縁膜12,14として低い比誘電率の絶縁膜を使うことが、多層配線構造の寄生容量を低減し、半導体装置の動作速度を向上させる上で重要であり、従来より、前記層間絶縁膜12,14へのFをドープしたSiO2膜(SiOF膜)や有機Si絶縁膜(SiOCH)膜の使用が研究されている。特に有機Si絶縁膜を使った場合、3.0以下の低い比誘電率の値が実現される。
【0014】
このようなデュアルダマシン法による多層配線構造の形成工程においては、先にも説明したようにエッチングストッパ膜13の役割も重要である。従来より、かかるエッチングストッパ膜として、前記層間絶縁膜14に対して大きなエッチング選択比が確保できる材料として、一般にSiN膜が使われている。デュアルダマシン法においては、前記エッチングストッパ膜13は前記大きなエッチング選択比の他に、配線パターンを構成するCu等の金属に対して有効な拡散障壁として作用すること、配線パターンおよび層間絶縁膜に対して優れた密着性を有すること、プラズマアッシング工程あるいはウェットエッチング工程に対して優れた耐性を有することなどが要求される。SiN膜は効果的な拡散障壁として作用することが知られている。
【0015】
一方、従来よりSiN膜はプラズマCVD法により形成されているが、このようにして形成されたSiN膜は約7〜8に達する大きな比誘電率を有する。このため前記多層配線構造において層間絶縁膜12,14において低誘電率絶縁膜を使って寄生容量を低減しても、エッチングストッパ膜13としてSiN膜を使うと所望の寄生容量の低減は実質的に相殺されてしまう。
発明の開示
そこで、本発明は上記の課題を解決した新規で有用な半導体装置およびその製造方法を提供することを概括的課題とする。
【0016】
本発明のより具体的な課題は、低い比誘電率を有する窒化ケイ素膜およびその形成方法を提供することにある。
【0017】
本発明の別の課題は、低い比誘電率を有する窒化ケイ素膜を有する多層配線構造の形成方法を提供することにある。
【0018】
本発明のさらに別の課題は、低い比誘電率を有する窒化ケイ素膜を有する半導体装置を提供することにある。
【0020】
本発明によれば、シラザン結合を有する有機シラザン化合物を気相原料としたCVD工程により、低密度で低い比誘電率のSiNCH膜(Si,N,C,Hを主なる含有元素とする膜)を形成することが可能である。このようにして形成された低密度SiNCH膜は下地膜に対して優れた密着性を有すると同時に、Cu等の金属原子に対して効果的な拡散障壁として作用する。またプラズマアッシング工程やドライエッチング工程、さらにウェットエッチング工程に対して優れた耐性を示す。
【0021】
本発明において、前記有機シラザン化合物は、R1,R2を水素、メチル基などのアルキル基、フェニル基などの環状炭化水素基、ビニル基より選ばれる基、nを1以上の整数として、Si(R 1 3 N(R 2 2 ,(Si(R 1 3 2 NR 2 ,(SiHR 1 NR 2 n あるいは(SiR1(NR21.5nで表される構造式を有するのが好ましい。また前記CVD工程は、前記有機シラザン化合物中のシラザン結合が前記SiNCH膜中に保存されるように実行されるのが好ましい。前記有機シラザン化合物として、R1,R2を水素、メチル基などのアルキル基、フェノール基などの環状炭化水素基、ビニル基より選ばれる基、nを1以上の整数として、Si(R 1 3 N(R 2 2 ,(Si(R 1 3 2 NR 2 ,(SiHR 1 NR 2 n あるいは(SiR1(NR21.5nで表される構造式を有する化合物を使うことにより、前記プラズマ重合工程の際に原料化合物中のシラザン結合がSiNCH膜中においても保存され、その結果前記窒化ケイ素膜の密度が低下する。
【0022】
前記SiNCH膜を堆積するCVD工程は、前記有機シラザン化合物のプラズマ重合工程を含むのが好ましく、前記プラズマ重合工程は、前記有機シラザン化合物中のシラザン結合が前記SiNCH膜中に実質的に保存されるようなプラズマパワーで実行されるのが好ましい。その結果、前記SiNCH膜の密度および比誘電率を効果的に低減することができる。前記SiNCH膜を堆積する工程は、前記有機シラザン化合物の熱重合工程により実行してもよいが、その際には、前記熱重合工程を、前記有機シラザン化合物中のシラザン結合が前記SiNCH膜中に実質的に保存されるような温度で実行する必要がある。
【0023】
また、本発明において前記有機シラザン化合物に、N2,NH3などのNを含む別の気相原料を供給する工程を加え、前記SiNCH膜を堆積する工程を、前記別の気相原料のプラズマを形成する工程と、前記プラズマを前記反応室中に供給する工程とにより実行してもよい。かかる工程によれば、前記有機Si化合物に印加されるプラズマパワーはわずかであり、前記有機Si化合物気相原料中に存在していたシラザン構造が、形成される窒化珪素膜中に効果的に保存される。
【0024】
また本発明において、原料中、あるいは副原料から発生する酸素を含んだSiONCH系の窒化酸化ケイ素を形成することもできるが、酸素量が40%程度以下であれば前記窒化ケイ素膜に近い性能を得ることができる。
【0025】
本発明の他の課題は、
基板上にエッチングストッパ膜を形成する工程と、
前記エッチングストッパ膜上に層間絶縁膜を堆積する工程と、
前記層間絶縁膜をパターニングし、開口部を形成する工程とを含む半導体装置の製造方法において、
前記エッチングストッパ膜を堆積する工程は、
前記基板を処理装置の反応室中に導入する工程と、
前記反応室中に、Si−N結合を有し、少なくともSi原子に有機基が結合した有機シラザン化合物を気相原料として供給する工程と、
前記反応室中において前記基板表面に、前記有機シラザン化合物の気相原料からSiNCH膜を、前記エッチングストッパ膜として、CVD法により堆積する工程とを含むことを特徴とする半導体装置の製造方法を提供することにある。
【0026】
本発明によれば、デュアルダマシン法による多層配線構造の形成工程において、エッチングストッパ膜として、シラザン結合を有する有機シラザン化合物原料よりCVD工程により形成されたSiNCH膜が形成される。かかる窒化ケイ素膜は膜中に炭化水素基を含むシラザン結合を保持しているため低密度・低比誘電率であり、このような低誘電率窒化ケイ素膜をエッチングストッパに使うことにより、多層配線構造の寄生容量を実質的に低減することができ、半導体装置の動作速度を向上させることができる。また、かかる低誘電率窒化ケイ素膜はエッチング耐性に優れており、デュアルダマシン工程で使われるドライエッチング工程において効果的なエッチングストッパ膜あるいはハードマスク膜として機能する。
【0027】
本発明において、前記有機シラザン化合物は、R1,R2を水素、メチル基などのアルキル基、フェニル基などの環状炭化水素基、ビニル基より選ばれる基、nを1以上の整数として、Si(R 1 3 N(R 2 2 ,(Si(R 1 3 2 NR 2 ,(SiHR 1 NR 2 n あるいは(SiR1(NR21.5nで表される構造式を有するのが好ましい。また前記CVD工程は、前記有機シラザン化合物中のシラザン結合前記窒化ケイ素膜中に存在させるように実行されのが好ましい。前記有機Si化合物として、R1,R2を水素、メチル基などのアルキル基、フェノール基などの環状炭化水素基、ビニル基より選ばれる基、nを1以上の整数として、Si(R 1 3 N(R 2 2 ,(Si(R 1 3 2 NR 2 ,(SiHR 1 NR 2 n あるいは(SiR1(NR21.5nで表される構造式を有する化合物を使うことにより、前記CVD工程の際に原料化合物中の炭化水素基を含むシラザン結合がSiNCH膜中においても保存され、その結果前記SiNCH膜の密度が低下する。
【0028】
前記SiNCH膜を堆積するCVD工程は、前記有機シラザン化合物のプラズマ重合工程を含むのが好ましく、前記プラズマ重合工程は、前記有機シラザン化合物中のシラザン結合が前記SiNCH膜中に実質的に保存されるようなプラズマパワーで実行されるのが好ましい。その結果、前記SiNCH膜の密度および比誘電率を効果的に低減することができる。前記SiNCH膜を堆積する工程は、前記有機シラザン化合物の熱重合工程により実行してもよいが、その際には、前記熱重合工程を、前記有機シラザン化合物中のシラザン結合が前記SiNCH膜中に実質的に保存されるような温度で実行する必要がある。
【0029】
また、本発明において、前記有機シラザン化合物に、N2,NH3などのNを含む別の気相原料を供給する工程を加え、前記SiNCH膜を堆積する工程を、前記別の気相原料のプラズマを形成する工程と、前記プラズマを前記反応室中に供給する工程とにより実行してもよい。かかる工程によれば、前記有機シラザン化合物に印加されるプラズマパワーはわずかであり、前記有機シラザン化合物気相原料中に存在していたシラザン結合が、形成される窒化ケイ素膜中に効果的に保存される。
【0030】
また本発明において、前記層間絶縁膜上に導体層を、前記開口部を介して前記凹部を充填するように形成し、前記導体層のうち、前記層間絶縁膜上に位置する部分を化学機械研磨工程により除去する工程を行ってもよい。その際、前記導体層はCu層よりなるのが好ましい。前記窒化ケイ素膜はCuに対して優れた拡散障壁として作用するため、Cu層から隣接する層間絶縁膜へのCuの拡散を効果的に抑制することができる。また、前記窒化ケイ素エッチングストッパ膜は優れたリーク電流特性を有する。
【0031】
前記層間絶縁膜として有機絶縁膜、あるいは、F(フッ素)ドープSiO2膜を使用することにより、層間絶縁膜の容量が減少し、多層配線構造全体の寄生容量を低減することが可能になる。前記凹部を配線溝およびコンタクトホールを含むように形成することにより、複雑な配線パターンを形成することができる。
【0032】
また本発明は上記の課題を、Siに結合した、Cnmで表される任意の原子団を含む、SiNCH系の窒化ケイ素膜により、解決する。
【0033】
本発明によれば、前記窒化ケイ素膜は膜中に炭化水素基を含むシラザン結合をもち、その結果、膜の密度が低下し、膜の比誘電率が低下する。このため、かかるエッチングストッパ膜を使った多層配線構造では、寄生容量が低下し、半導体装置の動作速度が向上する。前記原子団としては、水素、アルキル基、環状炭化水素基あるいはビニル基を使うことができる。また本発明の窒化ケイ素膜は密着性に優れ、プラズマアッシング、ドライエッチング、あるいはウェットエッチングに対する優れた耐性を有する特徴を有する。また窒化ケイ素膜は、さらに金属元素の拡散に対して効果的な拡散バリアとして作用し、リーク電流が少ない特徴を有する。
【0034】
また本発明は上記の課題を、
基板と、
前記基板上に形成された多層配線構造とよりなる半導体装置において、
前記多層配線構造は、エッチングストッパ膜と、前記エッチングストッパ膜上に形成された層間絶縁膜と、前記層間絶縁膜中に形成された配線溝と、前記層間絶縁膜中に、前記配線溝に対応して形成されたコンタクトホールと、前記配線溝および前記コンタクトホールを充填する導体パターンとよりなり、
前記エッチングストッパ膜はSiNCH膜よりなり、Siに結合したCnmで表される任意の原子団を含むことを特徴とする半導体装置により、解決する。
【0035】
本発明によれば、前記窒化ケイ素膜は膜中に炭化水素基を含むシラザン結合をもち、その結果、膜の密度が低下し、膜の比誘電率が低下する。このため、かかるエッチングストッパ膜を使った多層配線構造では、寄生容量が低下し、半導体装置の動作速度が向上する。前記原子団としては、水素、アルキル基、環状炭化水素基あるいはビニル基を使うことができる。また本発明の窒化ケイ素膜は密着性に優れ、プラズマアッシング、ドライエッチング、あるいはウェットエッチングに対する優れた耐性を有する特徴を有する。また窒化ケイ素膜は、さらに金属元素の拡散に対して効果的な拡散バリアとして作用し、リーク電流が少ない特徴を有する。
【0036】
本発明の他の課題および特徴は、図面を参照しながら以下に行う詳細な説明より明らかとなろう。
【0037】
発明を実施する最良の態様
[第1実施例]
図1は、本発明の第1実施例において使われるプラズマCVD装置30の構成を示す。
【0038】
図1を参照するに、プラズマCVD装置30は排気口31Aおよびトラップ31Bを介してポンプ31Cにより排気される反応室31を有し、前記反応室31中には被処理基板32Aを保持する試料保持台32が設けられる。
【0039】
前記反応室31中には前記試料保持台32に対向してシャワーヘッド33が設けられ、前記シャワーヘッド33にはHeにより加圧された原料容器34中に保持された有機Si液体原料が、液体流量コントローラ(LMFC)34Aおよび気化器34Bを順次通った後、ライン34Cを介して供給されるArキャリアガスと共に、第1の気相原料として供給される。
【0040】
前記シャワーヘッド33にはさらにNH3あるいはN2が、ライン35を介して第2の気相原料として供給され、前記第1および第2の気相原料は高周波源36からの450kHz〜60MHzの高周波により励起され、プラズマを形成する。
【0041】
かかるプラズマの形成に伴い、前記反応室31中においては前記シャワーヘッド33から放出されたプラズマ化した原料物質がプラズマ重合を生じ、前記基板32Aの表面に窒化ケイ素膜の堆積を生じる。
【0042】
また図1の構成において、前記ポンプ31Cの排気は、除害装置31Dを通って環境中に排出される。
【0043】
図2A,2Bは、前記原料容器34中に保持される有機Si原料の構造式の一例を示す。このうち図2Aの例では、前記有機Si原料として1,1,3,3,5,5,7,7−オクタメチルシクロテトラシラザンが使われ、R1はメチル基、R2はHで、Si48284で表される化学式を有する。一方、図2Bの例では、ヘキサメチルジシラザン(Si2619N)が使われるが、これらはシラザン結合を含む代表的な有機Si原料の例である。シラザン結合とは、Si−N結合であるが、この結合にメチル基やエチル基などのアルキル基、フェニル基などの環状炭化水素基、あるいはビニル基などを付加することで、有機シラザン化合物となる。
【0044】
有機シラザン化合物の代表的な例を表1にまとめて示す。
【0045】
【表1】
Figure 0004048112
図2A,2Bを参照するに、前記有機Si原料はメチル基Meを有するシラザン結合を含み、一般式Si(R 1 3 N(R 2 2 ,(Si(R 1 3 2 NR 2 あるいは(Si1NR2n(nは1以上の整数)で表される組成を有する。ただしR1,R2は一般式Cm2m+1(mは0以上の整数)で表され、水素、アルキル基、フェニル基などの環状炭化水素基、ビニル基等よりなる。
【0046】
図3Aを参照するに、形成された窒化珪素膜中には、図2A,2Bのシラザン結合に起因する炭化水素構造Si−CH3およびCH3が保存されており、その結果膜の密度が減少したと考えられる。プラズマパワーが1000Wの場合と100Wの場合を比較すると、SiNピークに対するSi−CH3ピークの大きさは100Wのほうが大きくなっており、膜中により多くのSi−CH3が含まれていることがわかる。従って、前記比誘電率の低下は、かかる膜密度の減少に伴うものと考えられる。
【0047】
【表2】
Figure 0004048112
このようにして得られた窒化ケイ素膜は、実際にはSiNCH膜であり、3.5〜5.5の比誘電率の値を示した。
【0048】
前記窒化ケイ素膜の比誘電率の値は、通常のプラズマSiN膜の比誘電率の値が約7〜8であることを考えると半分にまで減少している。前記堆積工程においてプラズマパワーを100〜300Wと小さく設定していくと前記シャワーヘッド33に供給される気化した有機Si原料が完全には分解せず、図3に示す元の有機シラザン結合が窒化ケイ素膜中により多く保存され、比誘電率が低くなる傾向にある。
【0049】
図3Aは、このようにして形成された窒化珪素膜の構造をFT−IR法で見たものである。
【0050】
図3Aを参照するに、形成された窒化珪素膜中には、図2A,2Bの有機シラザン結合に起因する炭化水素構造Si−CH3およびCH3が保存されており、その結果膜の密度が減少したと考えられる。プラズマパワーが1000Wの場合と100Wの場合を比較すると、SiNピークに対するSi−CH3ピークの大きさは100Wのほうが大きくなっており、膜中により多くのSi−CH3が含まれていることがわかる。従って、前記比誘電率の低下は、かかる膜密度の減少に伴うものと考えられる。
【0051】
図3Bは膜構造の一例の模式図であるが、SiN構造に加えてSi−CH3,N−H,Si−H結合などが網目構造をなしている。このような有機シラザン原料を用いて、適切なプラズマパワーなどの条件を選ぶことで、形成可能となる。
【0052】
このようにして得られたSiNCH膜は、下地膜に対して優れた密着性を有し、また優れたプラズマアッシング耐性、ドライエッチング耐性およびウェットエッチング耐性を有する。このため、本発明によるSiNCH膜は多層配線構造に対して適用が可能である。
【0053】
なお、表2の条件はあくまでも代表的な例に過ぎず、本発明のSiNCH膜は、プラズマパワーを50W〜2000Wの範囲に設定し、基板温度を室温から500°C位の範囲に設定し、反応室内圧を1.33Pa(10mTorr)〜1.33kPa(10Torr)の範囲に設定し、前記液体有機Si原料の供給量を0.001〜10cc/minの範囲に設定することによっても形成することができる。
【0054】
さらに、前記SiNCH膜は、図2のプラズマCVD装置30において、前記高周波源35を駆動することなく、熱CVD工程により形成することも可能である。
【0055】
この場合には、基板温度をプラズマCVD工程におけるよりも高く設定する必要があるが、前記有機Si原料中に含まれるシラザン結合が形成されるSiNCH膜中に効率よく残留するように、600°C以下に保持する必要がある。
[第2実施例]
図4A〜図4Fは、本発明の第2実施例による多層配線構造を有する半導体装置の製造工程を示す。ただし、先に説明した部分には同一の参照符号を付し、説明を省略する。
【0056】
図4Aは先に説明した図11Aの工程に対応し、同様な積層構造が形成されているが、従来のSiNよりなるエッチングストッパ膜13の代わりに、図2のプラズマCVD装置を使い、先の図2Aの有機Si原料から出発して表2の条件下で形成したSiNCH膜をエッチングストッパ膜23として使う。
【0057】
図4Bの工程において、前記レジストパターン18をマスクに、前記層間絶縁膜14をドライエッチングし、前記レジスト開口部18Aに対応した開口部を形成する。ただし前記レジスト開口部18Aは、前記多層配線構造中に形成したいコンタクトホールに対応している。さらに、前記レジストパターン18を除去する。
【0058】
次に図4Cの工程において、図4Bの構造上にレジスト膜19を新たに塗布し、これをフォトリソグラフィー工程によりパターニングし、前記多層配線構造中に形成したい配線溝に対応して、レジスト開口部19Aを形成する。
【0059】
次に、図4Dの工程において、前記レジスト膜19をマスクに、前記レジスト開口部19Aにより露出された前記層間絶縁膜14をドライエッチングし、その後前記レジスト膜19を除去する。かかるドライエッチングとレジスト膜除去により、前記開口部14A底部においてSiNCH膜23が露出する。
【0060】
図4Eの工程では、このようにして得られた構造に対してドライエッチングを行い、SiNCH膜23に、前記開口部14Aに対応した開口部14Bを形成する。
【0061】
さらに、図4Fの工程において、前記開口部14Bよりなる配線溝および前記開口部14AよりなるコンタクトホールをはじめにTa,TaN,Ta/TaN,TiN,WN等のバリアメタル層(図示せず)により覆った後、Cu等の導体層により充填し、さらに前記層間絶縁膜14上の導体層をCMP法により除去することにより、図6Fに示すような、前記下側配線パターン14Bとコンタクトホール14Aにおいてコンタクトした導体パターン20が得られる。
【0062】
前記層間絶縁膜14としては、FドープSiO2膜、SiOH等のHSQ膜、あるいは多孔質膜等の無機低誘電率絶縁膜、あるいは有機SOG,あるいは芳香族系の低誘電率有機絶縁膜を使うのが望ましい。勿論、従来より使われている、CVD−SiO2膜やSOG膜を、前記層間絶縁膜14として使うことも可能である。しかし、特に前記層間絶縁膜14として低い比誘電率の無機あるいは有機絶縁膜を使った場合、本実施例による多層配線構造は、全体的な誘電率を低下させることが可能になり、半導体装置の高速動作に寄与する。
【0063】
また、本実施例において前記SiNCH膜23は前記低い比誘電率と、優れた密着性、さらに優れたドライエッチング耐性の他、Cuに対する優れた拡散障壁作用および低いリーク電流値を特徴とし、このため高速半導体装置の多層配線構造への適用に特に好都合である。
[第3実施例]
図5A〜5Eは、本発明の第3実施例による半導体装置の製造工程を示す。ただし図中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。
【0064】
図5Aを参照するに、この工程は先の図4Aの工程と実質的に同じであるが、層間絶縁膜16およびSiNCH膜25,27を付加している。Si基板10上の層間絶縁膜11上に形成された配線層12上に、SiNCH膜23,層間絶縁膜14,SiNCH膜25,層間絶縁膜16およびSiNCH膜27を積層した構成の積層構造体を含み、前記積層構造体上には、レジスト開口部18Aを有するレジストパターン18が形成されている。先の実施例と同様に、前記レジスト開口部18Aは、多層配線構造中に形成したいコンタクトホールに対応している。
【0065】
次に図5Bの工程において、まず前記SiNCH膜27を前記レジストパターン18をマスクにドライエッチングし、前記レジスト開口部18Aに対応した開口部(図示せず)を形成する。
【0066】
このようにして形成された開口部はその下の層間絶縁膜16を露出し、次にこのように露出された層間絶縁膜16をドライエッチングし、前記層間絶縁膜16中に、その下のSiNCH膜25を露出するように、前記レジスト開口部18Aに対応した開口部を形成する。さらに前記露出されたSiNCH膜25に対してドライエッチングを行い、その下の層間絶縁膜14を露出する開口部を、前記レジスト開口部18Aに対応して形成する。
【0067】
さらに前記露出された層間絶縁膜14に対してドライエッチングを行い、開口部14Aを層間絶縁膜14中に、前記レジスト開口部18Aに対応して形成する。このようにして形成された開口部14Aは、前記SiNCH膜27、層間絶縁膜16、さらにSiNCH膜25および層間絶縁膜14を貫通して延在し、前記SiNCH膜23を底部において露出する。
【0068】
次に図5Cの工程において前記レジスト膜18を除去し、図5Bの構造上に新たにレジスト膜19を、前記レジスト膜19が前記開口部14Aを埋めるように塗布し、図5Dの工程において前記レジスト膜19をフォトリソグラフィー法によりパターニングし、前記多層配線構造中に形成したい配線溝に対応したレジスト開口部19Aを前記レジスト膜19中に形成する。
【0069】
次に図5Eの工程において前記レジスト開口部19Aを形成されたレジスト膜19よりなるレジストパターンをマスクに、前記レジスト開口部19Aにより露出されたSiNCH膜27に対してドライエッチングを行い、前記露出されたSiNCH膜27中に、前記レジスト開口部19Aに対応した開口部を、層間絶縁膜16をエッチング後前記SiNCH膜25が露出するように形成する。さらに前記レジストパターン19を除去することで、前記層間絶縁膜16中に、前記レジスト開口部19Aに対応した、すなわち多層配線構造中に形成したい配線溝に対応した開口部16Aを形成する。
【0070】
前記開口部16Aを形成するドライエッチングは前記SiNCH膜25が露出した時点で停止するが、この後で露出したSiNCH膜27,25および23を除去し、前記開口部16Aおよび14AをCu等の導体層により充填することにより、先に図4Fで説明した多層配線構造が得られる。
【0071】
本実施例においても、前記層間絶縁膜14および16として、FドープSiO2膜、SiOH等のHSQ膜、あるいは多孔質膜等の無機低誘電率絶縁膜、あるいは有機SOG、あるいは芳香族系の低誘電率有機絶縁膜を使うことができ、その結果本実施例による多層配線構造では、全体的な誘電率が低下し、これにより半導体装置の動作速度が向上する。
【0072】
本実施例においても、前記SiNCH膜23,25,27は前記低い比誘電率と、優れた密着性、さらに優れたドライエッチング耐性の他、Cuに対する優れた拡散障壁作用および低いリーク電流値を特徴とし、このため本発明のSiNCH膜は高速半導体装置の多層配線構造への適用に特に好都合である。
[第4実施例]
図6A〜6Eは、本発明の第4実施例による半導体装置の製造工程を示す。ただし図中、先に説明した部分には同一の参照符号を付し、説明を省略する。
【0073】
図6Aを参照するに、この工程は先の図5Aの工程と実質的に同じであり、Si基板10上の層間絶縁膜11上に形成された配線層12上に、SiNCH膜23,層間絶縁膜14,SiNCH膜25,層間絶縁膜16およびSiNCH膜27を積層した構成の積層構造体を含む。ただし本実施例では前記積層構造体上に、前記多層配線構造中に形成したい配線溝に対応したレジスト開口部28Aを有するレジストパターン28が形成されている。
【0074】
次に図6Bの工程において、まず前記SiNCH膜27を前記レジストパターン28をマスクにドライエッチングし、前記レジスト開口部28Aに対応した開口部(図示せず)を形成する。このようにして形成された開口部はその下の層間絶縁膜16を露出し、次にこのように露出された層間絶縁膜16をドライエッチングし、前記層間絶縁膜16中に、その下のSiNCH膜25を露出するように、前記レジスト開口部28Aに対応した、すなわち形成したい配線溝に対応した開口部16Aを形成する。
【0075】
次に図6Cの工程において前記レジスト膜28を除去し、図6Bの構造上に新たにレジスト膜29を、前記レジスト膜29が前記開口部16Aを埋めるように塗布し、図6Dの工程において前記レジスト膜29をフォトリソグラフィー法によりパターニングし、前記多層配線構造中に形成したいコンタクトホールに対応したレジスト開口部29Aを前記レジスト膜29中に形成する。
【0076】
次に図6Eの工程において前記レジスト開口部29Aを形成されたレジスト膜29よりなるレジストパターンをマスクに、前記レジスト開口部29Aにより露出されたSiOCH膜25に対してドライエッチングを行い、前記露出されたSiNCH膜25中に、前記レジスト開口部29Aに対応した開口部を、その下の層間絶縁膜14が露出するように形成する。さらに前記レジストパターン29を除去した後、前記SiNCH膜27および25をハードマスクに、前記層間絶縁膜14をドライエッチングし、前記層間絶縁膜14中に、前記レジスト開口部29Aに対応した、すなわち多層配線構造中に形成したいコンタクトホールに対応した開口部14Aを形成する。
【0077】
前記開口部14Aを形成するドライエッチングは前記SiNCH膜23が露出した時点で停止するが、この後で露出したSiNCH膜27,25および23を除去し、前記開口部16Aおよび14AをCu等の導体層により充填することにより、先に図6Fで説明した多層配線構造が得られる。
【0078】
本実施例においても、前記層間絶縁膜14および16として、FドープSiO2膜、SiOH等のHSQ膜、あるいは多孔質膜等の無機低誘電率絶縁膜、あるいは有機SOG、あるいは芳香族系の低誘電率有機絶縁膜を使うことができ、その結果本実施例による多層配線構造では、全体的な誘電率が低下し、これにより半導体装置の動作速度が向上する。
【0079】
本実施例においても前記SiNCH膜23,25,27は前記低い比誘電率と、優れた密着性、さらに優れたドライエッチング耐性の他、Cuに対する優れた拡散障壁作用および低いリーク電流値を特徴とし、このため本発明のSiNCH膜は高速半導体装置の多層配線構造への適用に特に好都合である。
[第5実施例]
図7A〜7Eは、本発明の第5実施例による半導体装置の製造工程を示す。ただし図中、先に説明した部分には同一の参照符号を付し、説明を省略する。
【0080】
図7Aを参照するに、前記Si基板10上の層間絶縁膜11上に形成された配線層12上には、SiNCH膜23,層間絶縁膜14およびSiNCH膜25が順次堆積されており、さらに前記SiNCH膜25上には前記多層配線構造中に形成したいコンタクトホールに対応したレジスト開口部41Aを有するレジストパターン41が形成されている。
【0081】
前記レジスト開口部41Aにおいては前記SiNCH膜25が露出されており、前記露出されたSiNCH膜25はドライエッチングされる。その結果、前記レジスト開口部41Aに対応して開口部25Aが形成される。
【0082】
図7Bの工程では、さらに前記SiNCH膜25上に前記開口部25Aを埋めるように層間絶縁膜16を堆積し、さらに前記層間絶縁膜16上にSiNCH膜27を堆積する。
【0083】
次に図7Cの工程において前記SiNCH膜27上にレジスト膜42を塗布し、さらに図7Dの工程において前記レジスト膜42をフォトリソグラフィー工程によりパターニングし、前記多層配線構造中に形成したい配線溝に対応した開口部42Aを形成する。
【0084】
さらに図7Eの工程において前記レジスト膜42をマスクに、前記開口部42Aにより露出されたSiNCH膜27をドライエッチングし、その下の層間絶縁膜16を露出する。
【0085】
次に、前記層間絶縁膜16をドライエッチングすることにより、前記層間絶縁膜16中に、前記レジスト開口部42Aに対応した、すなわち形成したい配線溝に対応した開口部16Aが形成される。前記層間絶縁膜16のエッチングは前記SiNCH膜25が形成されている部分では、前記SiNCH膜25の露出と同時に停止するが、膜25中に前記開口部25Aが形成されている部分では、ドライエッチングは前記開口部25Aを通ってその下の層間絶縁膜14中に侵入し、その結果前記層間絶縁膜14中に前記開口部25Aに対応した、すなわち前記多層配線構造中に形成したいコンタクトホールに対応した開口部14Aが形成される。
【0086】
前記開口部14Aを形成するドライエッチングは前記SiNCH膜23が露出した時点で停止するが、この後で露出したSiNCH膜27,25および23を除去し、前記開口部16Aおよび14AをCu等の導体層により充填することにより、先に図6Fで説明した多層配線構造が得られる。
【0087】
本実施例においても、前記層間絶縁膜14および16として、FドープSiO2膜、SiOH等のHSQ膜、あるいは多孔質膜等の無機低誘電率絶縁膜、あるいは有機SOG、あるいは芳香族系の低誘電率有機絶縁膜を使うことができ、その結果本実施例による多層配線構造では、全体的な誘電率が低下し、これにより半導体装置の動作速度が向上する。
【0088】
本実施例においても、前記SiNCH膜23,25,27は低い比誘電率と、優れた密着性、さらに優れたドライエッチング耐性の他、Cuに対する優れた拡散障壁作用および低いリーク電流値を特徴とし、このため本発明のSiNCH膜は高速半導体装置の多層配線構造への適用に特に好都合である。
[第6実施例]
図8A〜8Eは、いわゆるクラスタードハードマスクを使った、本発明の第6実施例による多層配線構造を有する半導体装置の製造工程を示す。ただし図中、先に説明した部分に対応する部分には同一の参照符号を付し、説明を省略する。
【0089】
本実施例では前記配線パターン12Aを含む配線層12上にSiNCH膜23,層間絶縁膜14,SiNCH膜25,層間絶縁膜16,およびSiNCH膜27が他の実施例と同様に順次積層され、さらに前記SiNCH膜27上にSiO2膜43がプラズマCVD法により、あるいはスピンコーティングにより形成され、前記SiO2膜43上には、多層配線構造中に形成したいコンタクトホールに対応したレジスト開口部18Aを有するレジスト膜18が形成される。前記SiNCH膜27およびSiO2膜43は、いわゆるクラスタードハードマスク構成を形成する。
【0090】
図8Aの工程では、さらに前記SiO2膜43に対してレジスト膜18をマスクとしてドライエッチングが施され、その結果前記SiO2膜43中に前記レジスト開口部18Aに対応してその下のSiNCH膜27を露出する開口部が形成される。さらに前記露出されたSiNCH膜27をドライエッチングすることにより、前記SiNCH膜27中には、図8Bに示すように前記レジスト開口部18Aに対応して前記層間絶縁膜16を露出する開口部27Aが形成される。
【0091】
図8Bの工程では、さらに前記SiO2膜43上に、前記多層配線構造中に形成したい配線溝に対応したレジスト開口部19Aを有するレジスト膜19が、前記SiO2膜43を露出するように形成されており、図8Cの工程において前記露出したSiO2膜43が、前記レジスト膜19をマスクにドライエッチングすることにより、除去される。その際前記SiNCH膜27がエッチングストッパとして作用し、その結果図8Cに示すように、前記SiO2膜43中には、前記レジスト開口部19Aに対応した開口部43Aが、前記SiNCH膜27を露出するように形成される。
【0092】
図8Cの工程では、前記SiO2膜43のドライエッチングと同時に、前記開口部27Aにおいて前記層間絶縁膜16のドライエッチングも進行し、その結果、前記層間絶縁膜16中に、前記開口部27Aに対応した開口部16Aが形成される。この工程では、前記SiNCH膜27がハードマスクとして使われる。前記開口部16AにおいてはSiNCH膜25が露出される。
【0093】
次に、図8Dの工程において前記開口部43Aにおいて露出しているSiNCH膜27および前記開口部16Aにおいて露出しているSiNCH膜25をドライエッチングにより除去し、前記開口部43Aにおいて層間絶縁膜16を、また前記開口部16Aにおいて層間絶縁膜14を露出する。
【0094】
さらに図8Eの工程において前記開口部43Aにおいて露出している層間絶縁膜16および前記開口部16Aにおいて露出している層間絶縁膜14をドライエッチングにより除去し、前記層間絶縁膜16中に、前記レジスト開口部19Aに対応した、すなわち形成したい配線溝に対応した開口部16Bを、また前記層間絶縁膜14中に前記レジスト開口部14Aに対応した、すなわち形成したいコンタクトホールに対応した開口部14Aを形成する。
【0095】
さらに図8Eの構造において露出したSiNCH膜27,25および23を除去し、前記開口部16Aおよび14AをCu等の導体層により充填することにより、先に図6Fで説明した多層配線構造が得られる。
【0096】
本実施例においても、前記SiNCH膜23,25,27は前記低い比誘電率と、優れた密着性、さらに優れたドライエッチング耐性の他、Cuに対する優れた拡散障壁作用および低いリーク電流値を特徴とし、このため本発明のSiNCH膜は、高速半導体装置の多層配線構造への適用に特に好都合である。
[第7実施例]
図9は、本発明の第7実施例による半導体装置50の構成を示す。
【0097】
図9を参照するに、前記半導体装置50は図示しない能動素子を形成されたSi基板51と、前記Si基板51上に前記能動素子を覆うように形成された絶縁膜52と、前記絶縁膜52上に形成された第1層目の配線パターン53Aと、前記絶縁膜52上に前記配線パターン53Aを覆うように形成された層間絶縁膜53と、前記層間絶縁膜53上に形成された第2層目の配線パターン54Aと、前記層間絶縁膜53上に前記配線パターン54Aを覆うように形成された層間絶縁膜54とよりなり、前記層間絶縁膜54の表面は窒化ケイ素パッシベーション膜55により覆われている。
【0098】
図10は、前記窒化ケイ素パッシベーション膜55の形成工程を示す。
【0099】
図10を参照するに、前記半導体装置50はステップ1において前記層間絶縁膜54が形成された時点でスピンコーター装置中に導入され、前記層間絶縁膜54の表面に、前記パッシベーション膜55に対応して、例えば組成が((SiH2NH)n、nは1以上の整数)の有機シラザン化合物のスピンコート膜を形成する。さらにステップ1では、このようにして形成されたスピンコート膜を100°C以下の温度でベーク処理することにより溶媒を除去し、安定した窒化ケイ素膜を形成する。
【0100】
ただし、図10のステップ1の工程で形成された窒化ケイ素膜は一般にOを含むことが避けられず、本実施例ではステップ2の工程において前記半導体装置50を例えば図2のプラズマCVD装置のようなプラズマ処理装置中に導入し、NH3,N2,H2など、NとHとを含むプラズマガスにより、前記窒化ケイ素膜55の表面を処理し、膜中のOを部分的にNで置換する。その際、本実施例においては、前記スピンコート膜55中において重合が完了するよりも前にステップ2のプラズマ処理を行う。
【0101】
かかるプラズマ処理の結果、前記窒化ケイ素膜55はSiNCHあるいはSiONCHで表される化学式を有し、優れた耐熱性,耐薬品性を有する膜に変化する。
【0102】
従来はステップ1の工程の後、N2雰囲気中において熱処理を行うことにより、所望の酸窒化ケイ素膜を得ることができるが、かかる工程では表面の十分改質に400°Cを超える高い温度が必要であった。また、かかる高い温度にもかかわらず、改質の効果が不十分であった。
【0103】
これに対し、本実施例では前記スピンコート膜55中の重合反応が完了するよりも前にステップ2のプラズマ処理を行うため、効果的な表面改質反応を低温で行うことが可能になる。かかるプラズマ処理は、例えばNH3とSiH4とをプラズマガスに使い、基板温度を350°C以下に設定し、プラズマパワーを100〜1000W程度に設定して実行するのが好ましい。前記プラズマ処理の条件は、膜55中のOH基が減少し、Nの結合が増加するように設定するのが好ましい。
【0104】
また、本実施例では、ステップ2の工程がスピンコート膜55中の重合反応が完了するよりも前に実行されるように、ステップ1のベーク工程を100°C以下の温度において行っている。またステップ1およびステップ2の工程は、連続して行うことができるように、枚葉式の処理装置により行うのが好ましい。
【0105】
さらに、ステップ2の工程は、プラズマ処理に限定されるものではなく、NおよびHを含む雰囲気中における熱処理工程により行ってもよい。例えばNH3あるいはN2およびH2を含む雰囲気中において前記ステップ2の熱処理工程を、400°C以上の温度で行うことができる。
【0106】
以上、本発明を好ましい実施例について説明したが、本発明はかかる特定の実施例に限定されるものではなく、特許請求の範囲に記載した要旨内において、様々な変形・変更が可能である。
【0107】
産業上の利用可能性
本発明によれば、シラザン結合を有する有機Si化合物を原料としたCVD工程により、窒化ケイ素膜を、膜中に原料中の有機シラザン結合が実質的に保存されるような条件で形成することにより、低密度で低い比誘電率を有し、優れた密着性とエッチング耐性を有し、Cu等の金属元素に対して効果的な拡散障壁として作用するSiNCH系の窒化ケイ素膜が得られる。また、かかる窒化ケイ素膜を使って、寄生容量の小さい多層配線構造を形成することが可能になる。
【図面の簡単な説明】
【図1】 本発明の第1実施例で使われるプラズマCVD装置の構成を示す図である。
【図2A】 本発明の第1実施例で使われるシラザン結合を有する有機Si化合物の構造例を示す図である。
【図2B】 本発明の第1実施例で使われるシラザン結合を有する有機Si化合物の構造例を示す図である。
【図3A】 本発明の第1実施例で得られる窒化ケイ素膜の構造の一例を示す概略図である。
【図3B】 本発明の第1実施例で得られる窒化ケイ素膜の構造の一例を示す概略図である。
【図4A】 本発明の第2実施例による半導体装置の製造工程を示す図である。
【図4B】 本発明の第2実施例による半導体装置の製造工程を示す図である。
【図4C】 本発明の第2実施例による半導体装置の製造工程を示す図である。
【図4D】 本発明の第2実施例による半導体装置の製造工程を示す図である。
【図4E】 本発明の第2実施例による半導体装置の製造工程を示す図である。
【図4F】 本発明の第2実施例による半導体装置の製造工程を示す図である。
【図5A】 本発明の第3実施例による半導体装置の製造工程を示す図である。
【図5B】 本発明の第3実施例による半導体装置の製造工程を示す図である。
【図5C】 本発明の第3実施例による半導体装置の製造工程を示す図である。
【図5D】 本発明の第3実施例による半導体装置の製造工程を示す図である。
【図5E】 本発明の第3実施例による半導体装置の製造工程を示す図である。
【図6A】 本発明の第4実施例による半導体装置の製造工程を示す図である。
【図6B】 本発明の第4実施例による半導体装置の製造工程を示す図である。
【図6C】 本発明の第4実施例による半導体装置の製造工程を示す図である。
【図6D】 本発明の第4実施例による半導体装置の製造工程を示す図である。
【図6E】 本発明の第4実施例による半導体装置の製造工程を示す図である。
【図7A】 本発明の第5実施例による半導体装置の製造工程を示す図である。
【図7B】 本発明の第5実施例による半導体装置の製造工程を示す図である。
【図7C】 本発明の第5実施例による半導体装置の製造工程を示す図である。
【図7D】 本発明の第5実施例による半導体装置の製造工程を示す図である。
【図7E】 本発明の第5実施例による半導体装置の製造工程を示す図である。
【図8A】 本発明の第6実施例による半導体装置の製造工程を示す図である。
【図8B】 本発明の第6実施例による半導体装置の製造工程を示す図である。
【図8C】 本発明の第6実施例による半導体装置の製造工程を示す図である。
【図8D】 本発明の第6実施例による半導体装置の製造工程を示す図である。
【図8E】 本発明の第6実施例による半導体装置の製造工程を示す図である。
【図9】 本発明の第7実施例による半導体装置の構成を示す図である。
【図10】 本発明の第7実施例による半導体装置の製造工程を示す図である。
【図11A】 従来の半導体装置の製造工程を示す図である。
【図11B】 従来の半導体装置の製造工程を示す図である。
【図11C】 従来の半導体装置の製造工程を示す図である。
【図11D】 従来の半導体装置の製造工程を示す図である。
【図11E】 従来の半導体装置の製造工程を示す図である。
【図11F】 従来の半導体装置の製造工程を示す図である。
【符号の説明】
10,51 Si基板
11 CVD膜
12 配線層
12A,53A,54A 配線パターン
12B 絶縁膜
13,15,17,43 エッチングストッパ膜
14,16,52,53,54 層間絶縁膜
14A,16A,25A,43A ハードマスク開口部
18,19,28,29,41,42 レジスト膜
18A,19A,28A,29A,41A,42A レジスト開口部
20 導体パターン
23,25,27 SiNCHエッチングストッパ膜
55 パッシベーション膜

Claims (13)

  1. 基板上にエッチングストッパ膜を形成する工程と、
    前記エッチングストッパ膜上に層間絶縁膜を堆積する工程と、
    前記層間絶縁膜をパターニングし、開口部を形成する工程とを含む半導体装置の製造方法において、
    前記エッチングストッパ膜を堆積する工程は、
    前記基板を処理装置の反応室中に導入する工程と、
    前記反応室中に、Si−N結合を有し、少なくともSi原子に有機基が結合した有機シラザン化合物を気相原料として供給する工程と、
    前記反応室中において前記基板表面に、前記有機シラザン化合物の気相原料からSiNCH膜を、前記エッチングストッパ膜として、CVD法により堆積する工程とを含むことを特徴とする半導体装置の製造方法。
  2. 前記有機シラザン化合物は、R1,R2を水素、アルキル基、環状炭化水素基、ビニル基より選ばれる基であり、nを1以上の整数として、Si(R13N(R22,(Si(R132NR2,(SiHR1NR2nあるいは(SiR1(NR21.5nで表される構造式を有することを特徴とする請求項1記載の半導体装置の製造方法。
  3. 前記SiNCH膜を堆積する工程は、前記有機シラザン化合物中のシラザン結合を前記SiNCH膜中存在させるように実行されることを特徴とする請求項1記載の半導体装置の製造方法。
  4. 前記SiNCH膜を堆積する工程は、前記有機シラザン化合物のプラズマ重合工程を含むことを特徴とする請求項1記載の半導体装置の製造方法。
  5. 前記プラズマ重合工程は、前記有機シラザン化合物中のSi−N結合を前記SiNCH膜中存在させるようなプラズマパワーで実行されることを特徴とする請求項1記載の半導体装置の製造方法。
  6. さらに前記反応室に、前記有機シラザン化合物のほかに、Nを含む別の気相原料を供給する工程を含み、前記SiNCH膜を堆積する工程は、前記別の気相原料のプラズマを形成する工程と、前記プラズマを前記反応室中に供給する工程とを含むことを特徴とする請求項1記載の半導体装置の製造方法。
  7. さらに前記層間絶縁膜上に導体層を、前記開口部を充填するように形成する工程と、前記導体層のうち、前記層間絶縁膜上に位置する部分を化学機械研磨工程により除去する工程を含むことを特徴とする請求項1記載の半導体装置の製造方法。
  8. 前記導体層はCu層よりなることを特徴とする請求項7記載の半導体装置の製造方法。
  9. 前記層間絶縁膜は有機あるいは無機絶縁膜よりなることを特徴とする請求項1記載の半導体装置の製造方法。
  10. 前記層間絶縁膜は有機シリコン酸化膜またはF(フッ素)ドープSiO2膜よりなることを特徴とする請求項1記載の半導体装置の製造方法。
  11. 前記SiCH膜を堆積する工程は、前記基板を200〜400℃の温度に保持することを特徴とする請求項1記載の半導体装置の製造方法。
  12. 前記SiNCH膜は、3.5〜5.5の比誘電率を有することを特徴とする請求項1記載の半導体装置の製造方法。
  13. 基板と、
    前記基板上に形成された多層配線構造とよりなる半導体装置であって、
    前記多層配線構造は、エッチングストッパ膜と、前記エッチングストッパ膜上に形成された層間絶縁膜と、前記層間絶縁膜中に形成された配線溝と、前記層間絶縁膜中に、前記配線溝に対応して形成されたコンタクトホールと、前記配線溝および前記コンタクトホールを充填する導体パターンとよりなり、
    前記エッチングストッパ膜はSiNCH膜よりなり、Cnmで表される任意の原子団を含み、前記任意の原子団はSi原子に結合されており、
    前記SiNCH膜は、膜中に環状シラザン結合を有することを特徴とする半導体装置。
JP2002521345A 2000-08-18 2001-08-16 半導体装置の製造方法および半導体装置 Expired - Fee Related JP4048112B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000248922 2000-08-18
PCT/JP2001/007061 WO2002017374A1 (en) 2000-08-18 2001-08-16 Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof

Publications (2)

Publication Number Publication Date
JP2004507108A JP2004507108A (ja) 2004-03-04
JP4048112B2 true JP4048112B2 (ja) 2008-02-13

Family

ID=18738734

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002521345A Expired - Fee Related JP4048112B2 (ja) 2000-08-18 2001-08-16 半導体装置の製造方法および半導体装置

Country Status (9)

Country Link
US (1) US6890869B2 (ja)
EP (1) EP1316108B9 (ja)
JP (1) JP4048112B2 (ja)
KR (1) KR100533198B1 (ja)
CN (1) CN100431110C (ja)
AU (1) AU2001278749A1 (ja)
DE (1) DE60127973T2 (ja)
TW (1) TW554442B (ja)
WO (1) WO2002017374A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180122619A (ko) 2016-03-11 2018-11-13 다이요 닛산 가부시키가이샤 실리콘 질화막의 제조 방법 및 실리콘 질화막

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
JP2004111538A (ja) * 2002-09-17 2004-04-08 Fujitsu Ltd 半導体装置、半導体装置の製造方法と評価方法、及びプロセス条件評価方法
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
JP4068072B2 (ja) * 2003-01-29 2008-03-26 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP4746829B2 (ja) 2003-01-31 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
TWI273329B (en) * 2004-12-29 2007-02-11 Au Optronics Corp Copper gate electrode of liquid crystal display device and method of fabricating the same
TWI263103B (en) * 2004-12-29 2006-10-01 Au Optronics Corp Copper gate electrode of liquid crystal display device and method of fabricating the same
JP5007511B2 (ja) 2006-02-14 2012-08-22 富士通株式会社 露光光遮蔽膜形成用材料、多層配線及びその製造方法、並びに半導体装置
US7718553B2 (en) * 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
US7749802B2 (en) * 2007-01-09 2010-07-06 International Business Machines Corporation Process for chemical vapor deposition of materials with via filling capability and structure formed thereby
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
DE102008016425B4 (de) * 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR100953736B1 (ko) 2009-07-27 2010-04-19 주식회사 아토 증착 장치 및 반도체 소자의 제조 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5874230B2 (ja) * 2010-08-27 2016-03-02 東ソー株式会社 封止膜材料、封止膜及び用途
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6318433B2 (ja) * 2013-11-28 2018-05-09 大陽日酸株式会社 シリコン窒化膜の形成方法及びシリコン窒化膜
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6236709B2 (ja) * 2014-10-14 2017-11-29 大陽日酸株式会社 シリコン窒化膜の製造方法及びシリコン窒化膜
CN113373428B (zh) * 2014-10-24 2023-07-14 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11679412B2 (en) 2016-06-13 2023-06-20 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US20170358445A1 (en) 2016-06-13 2017-12-14 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115537781A (zh) * 2022-10-27 2022-12-30 上海埃延半导体有限公司 一种弥漫层流反应腔体及控制方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4212501C1 (en) * 1992-04-14 1993-08-05 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Deposition of silicon nitride polymer layer on substrate - using linear or cyclic silazane in gas, giving good quality and high coating ratio
JPH06244172A (ja) * 1993-02-18 1994-09-02 Toray Ind Inc 多層配線構成体
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1116904A (ja) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6045877A (en) * 1997-07-28 2000-04-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
TW410455B (en) * 1998-02-16 2000-11-01 United Microelectronics Corp Forming method for dual damascene structure
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
EP1130633A1 (en) * 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6605540B2 (en) * 2001-07-09 2003-08-12 Texas Instruments Incorporated Process for forming a dual damascene structure
US6617690B1 (en) * 2002-08-14 2003-09-09 Ibm Corporation Interconnect structures containing stress adjustment cap layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180122619A (ko) 2016-03-11 2018-11-13 다이요 닛산 가부시키가이샤 실리콘 질화막의 제조 방법 및 실리콘 질화막
US10559459B2 (en) 2016-03-11 2020-02-11 Taiyo Nippon Sanso Corporation Method for producing silicon nitride film and silicon nitride film

Also Published As

Publication number Publication date
CN1446374A (zh) 2003-10-01
JP2004507108A (ja) 2004-03-04
TW554442B (en) 2003-09-21
WO2002017374A1 (en) 2002-02-28
EP1316108B9 (en) 2007-10-03
DE60127973D1 (de) 2007-05-31
CN100431110C (zh) 2008-11-05
DE60127973T2 (de) 2008-01-17
US6890869B2 (en) 2005-05-10
EP1316108A1 (en) 2003-06-04
US20030162412A1 (en) 2003-08-28
AU2001278749A1 (en) 2002-03-04
EP1316108B1 (en) 2007-04-18
KR20030064743A (ko) 2003-08-02
KR100533198B1 (ko) 2005-12-05
EP1316108A4 (en) 2005-10-26

Similar Documents

Publication Publication Date Title
JP4048112B2 (ja) 半導体装置の製造方法および半導体装置
JP4689026B2 (ja) 極限低誘電率膜のためのキャッピング層
EP1182275B1 (en) Method of forming an interlayer insulating film
US7538353B2 (en) Composite barrier/etch stop layer comprising oxygen doped SiC and SiC for interconnect structures
JP3888794B2 (ja) 多孔質膜の形成方法、配線構造体及びその形成方法
US7226853B2 (en) Method of forming a dual damascene structure utilizing a three layer hard mask structure
US20030219973A1 (en) Tri-layer masking architecture for patterning dual damascene interconnects
JP2011238704A (ja) 半導体装置および半導体装置の製造方法
JP5174435B2 (ja) ウェットエッチングアンダカットを最小にし且つ超低k(k<2.5)誘電体をポアシーリングする方法
KR20010098409A (ko) 저 비유전율층 보호 방법과 상호 접속 구조체 형성 방법및 벌크 유전체 물질이 보호되는 중간 반도체 디바이스와상호 접속 구조를 갖는 반도체 기판 구조체
JP2008502142A (ja) 相互接続構造の製造方法
KR20210154687A (ko) 반도체 디바이스를 위한 확산 배리어 및 방법
JP2002252222A (ja) 半導体装置の製造方法、及び半導体装置
JP3173426B2 (ja) シリカ絶縁膜の製造方法及び半導体装置の製造方法
KR20220026452A (ko) 반도체 디바이스를 위한 비아 및 방법
JP2010287655A (ja) 半導体装置の製造方法
JP2011151057A (ja) 半導体装置の製造方法
US20010051228A1 (en) Method of forming interlayer insulating film
JP2005175394A (ja) ダマシン法を用いた配線の形成方法及び該配線形成に用いるシリカ系被膜形成用塗布液

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060512

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070612

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070813

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071026

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071120

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071126

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101130

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101130

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131130

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees