JP2002252222A - 半導体装置の製造方法、及び半導体装置 - Google Patents

半導体装置の製造方法、及び半導体装置

Info

Publication number
JP2002252222A
JP2002252222A JP2001047358A JP2001047358A JP2002252222A JP 2002252222 A JP2002252222 A JP 2002252222A JP 2001047358 A JP2001047358 A JP 2001047358A JP 2001047358 A JP2001047358 A JP 2001047358A JP 2002252222 A JP2002252222 A JP 2002252222A
Authority
JP
Japan
Prior art keywords
silicon
film
organic film
semiconductor device
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001047358A
Other languages
English (en)
Inventor
Hiroto Otake
浩人 大竹
Shinobu Saito
忍 齋藤
Munehiro Tada
宗弘 多田
Yoshihiro Hayashi
喜宏 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP2001047358A priority Critical patent/JP2002252222A/ja
Priority to KR1020020009268A priority patent/KR20020068958A/ko
Priority to US10/080,848 priority patent/US6972453B2/en
Publication of JP2002252222A publication Critical patent/JP2002252222A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene

Abstract

(57)【要約】 【課題】 複数の有機膜を高い選択比でエッチングする
技術を提供することにある。 【解決手段】 本発明の半導体装置の製造方法は、シリ
コン含有有機膜(34)とシリコン非含有有機膜(3
5)から構成される複合膜に対して、窒素と水素との混
合系ガスプラズマを用いてシリコン非含有有機膜(3
5)をエッチングすることを特徴とする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、特に、有機膜が含まれる半導体装置の製造
方法に関する。
【0002】
【従来の技術】近年のULSIデバイスでは、数mm角
のチップに数百万個以上の半導体素子を集積する必要が
あり、半導体素子を微細化、多層化することが不可欠で
ある。特にデバイス動作速度の高速化のため、配線抵抗
および層間容量の低減が重要な課題である。
【0003】配線抵抗を低減させるために、銅を配線材
料に用いる方法が提案されている。この銅配線は、低抵
抗かつ高信頼性であり、次世代配線材料としてもっとも
注目されている。しかし、銅は従来のアルミ材料と異な
り、ドライエッチングによる加工が難しい。そのため、
銅配線の形成には、化学的機械的研磨法(CMP:Ch
emical Mechanical Polishi
ng)を用いた埋め込み配線技術が行われている。
【0004】図19、図20、及び図21は、典型的な
銅の埋め込み配線技術を示す。まず、図19(a)に示
されているように、シリコン基板のような半導体ウエハ
1aの上面に、MOSトランジスタ1が形成される。即
ち、半導体ウエハ1aの上面に、MOSトランジスタ1
を構成するソース/ドレイン1b、ゲート1c、及びサ
イドウォール1dが形成される。
【0005】続いて、図19(b)に示されているよう
に、シリコン酸化膜2のような層間絶縁膜が、MOSト
ランジスタ1を覆うように形成される。更に、図19
(c)に示されているように、MOSトランジスタ1の
ソース/ドレイン1bに接続するプラグ3が形成され
る。プラグ3は、タングステンプラグのような導電性プ
ラグで形成される。
【0006】次に、図20(a)に示されているよう
に、シリコン窒化膜4がCVD法により形成される。更
に、シリコン窒化膜4の上面に、層間絶縁膜として、シ
リコン酸化膜5が形成される。シリコン窒化膜4は、シ
リコン酸化膜5をエッチングする際のエッチングストッ
パーになる。
【0007】次に、図20(b)に示されているよう
に、シリコン酸化膜5の上面にフォトレジスト6が形成
される。フォトレジスト6には、フォトリソグラフィー
技術を用いて開口溝6aが形成される。更に、図20
(c)に示されているように、フォトレジスト6をマス
クとしてシリコン酸化膜5がエッチングされる。更に、
酸素プラズマを用いてフォトレジスト6が剥離される。
【0008】更に、図21(a)に示されているよう
に、シリコン酸化膜5をマスクとしてシリコン窒化膜4
がエッチングされ、下層とのコンタクト7が形成され
る。その後、銅がコンタクト7にめっき法によって埋め
込まれ、更に化学的機械的研磨法(CMP法)で研磨さ
れ、図21(b)に示されているように、銅配線8が形
成される。
【0009】他の銅の埋め込み配線技術として、低誘電
率有機膜を層間絶縁膜に用いる方法が提案されている
(図22、図23、及び図24)。この銅の埋め込み配
線技術では、低誘電率有機膜が層間絶縁膜として用いら
れ、配線間容量の低減が図られている。
【0010】当該埋め込み配線技術では、まず、図22
(a)に示されているように、シリコン基板のような半
導体ウエハ11aの上面に、ソース/ドレイン11b、
ゲート11c、及びサイドウォール11dが形成され
る。ソース/ドレイン11b、ゲート11c、及びサイ
ドウォール11dは、MOSトランジスタ11を構成す
る。続いて、図22(b)に示されているように、MO
Sトランジスタ11を覆うように、層間絶縁膜としてシ
リコン酸化膜12が成膜される。
【0011】更に、図22(c)に示されているよう
に、MOSトランジスタ11に接続するプラグ13が形
成される。プラグ13は、タングステンプラグのような
導電性プラグである。更に、シリコン酸化膜12とプラ
グ13を被覆するように、シリコン窒化膜14が形成さ
れる。さらにシリコン窒化膜14の上面に、層間絶縁膜
として、スピン塗布法によって有機膜15が形成され
る。有機膜15は、ポリアリルスエーテルのような低誘
電率有機材料によって形成される。シリコン窒化膜14
は、有機膜15をエッチングする際のエッチングストッ
パーとして機能する。
【0012】さらに、図23(a)に示されているよう
に、積層無機マスク膜としてシリコン窒化膜16とシリ
コン酸化膜17とが順次に形成される。これにより、低
誘電率の有機膜15が高誘電率のシリコン窒化膜14、
16に挟まれた構造が形成される。
【0013】次に、図23(b)シリコン酸化膜17の
上面にフォトレジスト18が形成され、更に、フォトリ
ソグラフィー技術を用いてフォトレジスト18に開口溝
18aが形成される。続いて、図23(c)に示されて
いるように、フォトレジスト18をマスクとしてシリコ
ン酸化膜17がエッチングされ、開口部17aが形成さ
れる。更に、酸素プラズマを用いてフォトレジスト18
が剥離される。
【0014】前述のシリコン窒化膜16は、フォトレジ
スト18を剥離する酸素プラズマから、有機膜15を保
護するために形成されている。フォトレジスト18を剥
離する際、シリコン酸化膜17に形成された開口部17
aの底部にはシリコン窒化膜16が存在する。酸素プラ
ズマに有機膜15が曝されてエッチングされることはな
い。フォトレジスト18の剥離は水素系プラズマによっ
ても可能である。しかし、この水素系プラズマでも有機
膜15はエッチングされてしまう。従って、水素系プラ
ズマを使用してフォトレジスト18の剥離を行う場合に
も、高誘電率のシリコン窒化膜16が有機膜15の上面
に形成される。
【0015】その後、図24(a)に示されているよう
に、パターニングされたシリコン酸化膜17をハードマ
スクとして、シリコン窒化膜16がエッチングされる。
更に、図24(b)に示されているように、有機膜15
が、N/O系プラズマガスを使用してエッチングさ
れる。この際、シリコン窒化膜14がエッチングストッ
パーとして作用する。
【0016】さらに、エッチングストッパーであるシリ
コン窒化膜14がエッチングされ、プラグ13に接続す
るコンタクト孔19aが形成される。その後、図24
(c)に示されているように、コンタクト孔19aに、
Ta/TaN膜が形成され、銅膜がめっき法により埋め
込まれ、更に、CMP法によってコンタクト孔19aの
外部のTa/TaN膜及び銅膜が除去されて、有機膜1
5に埋め込まれた銅配線19が形成される。
【0017】また、銅配線19の形成後に、図25に示
されているように、銅配線19およびシリコン酸化膜1
7を覆うようにキャップ膜20が形成される場合があ
る。キャップ膜20は、銅配線19に含まれる銅の拡散
を抑制すると同時に銅配線19の上部に配線を形成する
ときに、ストッパー膜の機能を果たす。キャップ膜20
はシリコン窒化膜や炭化シリコン膜(SiC)や炭化窒
化シリコン膜(SiCN)のような高誘電率無機膜が用
いられている。
【0018】このように、公知のその銅の埋め込み配線
技術では、ULSIの多層配線の配線間容量を下げるこ
とを目的として、層間絶縁膜として低誘電率の有機膜1
5が導入されている。
【0019】しかし、公知の銅の埋め込み配線技術で
は、低誘電率の有機膜15が、高誘電率のシリコン窒化
膜16で被覆されている。これは、有機膜15は、フォ
トレジストの剥離に用いられる酸素系プラズマや水素系
プラズマに対してエッチングされる性質を有しているた
めである。すなわち、フォトレジスト剥離の酸素プラズ
マアッシング時における有機膜エッチングを避けるため
に、高誘電率のシリコン窒化膜等の無機絶縁膜で有機膜
表面が覆われている。更に、公知の銅の埋め込み配線技
術では、有機膜15の下面には、エッチングストッパー
として高誘電率のシリコン窒化膜14が設けられてい
る。
【0020】このように有機膜15が高誘電率のシリコ
ン窒化膜14、16で挟まれていることは、実効的な配
線間容量を増大し、好ましくない。
【0021】さらには、公知の銅の埋め込み配線技術で
は、キャップ膜20にもシリコン窒化膜や炭化シリコン
膜(SiC)や炭化窒化シリコン膜(SiCN)等の高
誘電率無機膜が用いられる。これは、実効的な配線間容
量を増大する点で好ましくない。
【0022】このような問題は、複数の有機膜を高い選
択比でエッチングする技術が提供されることにより解決
される。複数の有機膜を高い選択比でエッチングする技
術が提供されることが望まれる。特に、複数の有機膜を
高い選択比でエッチングする技術を使用することによ
り、配線間容量が削減された半導体装置が製造されるこ
とが望まれる。
【0023】また、複数の有機膜を高い選択比でエッチ
ングする技術が提供されれば、半導体装置の製造工程の
自由度が大きくなる。例えば、複数の有機膜を高い選択
比でエッチングする技術が提供されれば、半導体装置に
含まれるビアの形成と埋め込み配線溝との形成のいずれ
を先行して行うかによって、半導体装置の製造工程に含
まれるエッチング工程や成膜工程を行う順序の自由に選
択することができる。
【0024】複数の有機膜を高い選択比でエッチングす
る技術を使用することにより、半導体装置の製造工程の
自由度が高められることが望まれる。
【0025】
【発明が解決しようとする課題】本発明の目的は、複数
の有機膜を高い選択比でエッチングする技術を提供する
ことにある。
【0026】本発明の他の目的は、複数の有機膜を高い
選択比でエッチングする技術を使用して、配線間容量が
削減された半導体装置を製造することにある。
【0027】本発明の他の目的は、複数の有機膜を高い
選択比でエッチングする技術を使用することにより、半
導体装置の製造工程の自由度を高めることにある。
【0028】
【課題を解決するための手段】その課題を解決するため
の手段は、下記のように表現される。その表現中に現れ
る技術的事項には、括弧()つきで、番号、記号等が添
記されている。その番号、記号等は、本発明の複数の実
施の形態のうちの、少なくとも1つの実施の形態を構成
する技術的事項、特に、その実施の形態に対応する図面
に表現されている技術的事項に付せられている参照番
号、参照記号等に一致している。このような参照番号、
参照記号は、請求項記載の技術的事項と実施の形態の技
術的事項との対応・橋渡しを明確にしている。このよう
な対応・橋渡しは、請求項記載の技術的事項が実施の形
態の技術的事項に限定されて解釈されることを意味しな
い。
【0029】本発明の半導体装置の製造方法は、シリコ
ン含有有機膜(34、44、46、54、56、62、
64、73、82、84、86、103、105)と有
機膜(35、45、55、63、72、83、85、1
09)から構成される複合膜に対して、窒素と水素との
混合系ガスプラズマを用いて有機膜(35、45、5
5、63、72、83、85、109)をエッチングす
ることを特徴とする。
【0030】有機膜(35、45、55、63、72、
83、85、109)は、窒素と水素との混合系ガスプ
ラズマによって、容易にエッチングされるのに対し、シ
リコン含有有機膜(34、44、46、54、56、6
2、64、73、82、84、86、103)は、窒素
と水素との混合系ガスプラズマによっては、ほとんどエ
ッチングされない。これにより、有機膜(35、45、
55、63、72、83、85、109)をエッチング
する際に、シリコン含有有機膜(34、44、46、5
4、56、62、64、73、82、84、86、10
3)に対する選択比を高くすることができる。
【0031】また、当該半導体装置の製造方法は、シリ
コン含有有機膜(34、44、54、62、82、8
4)上に形成された有機膜(35、45、55、63、
83、85)に対し、窒素と水素の混合系ガスプラズマ
を用いてシリコン含有有機膜(34、44、54、6
2、82、84)をエッチングストッパーとして有機膜
(35、45、55、63、83、85)に開口部(3
5a、45a、55a、69b、91a、91b)を形
成することを特徴とする。当該半導体装置の製造方法で
は、窒素と水素の混合系ガスプラズマを用いて有機膜
(35、45、55、63、83、85)に開口部(3
5a、45a、55a、69b、91a、91b)を形
成するエッチングは、シリコン含有有機膜(34、4
4、54、62、82、84)によって停止する。
【0032】また、当該半導体装置の製造方法は、有機
膜(45、55、63、85)上に形成されたシリコン
含有有機膜(46、56、64、86)をマスクとし、
窒素と水素の混合系ガスプラズマを用いて有機膜(4
5、55、63、85)に開口部(45a、55a、6
9a、91b)を形成することを特徴とする。当該半導
体装置の製造方法では、開口部(45a、55a、69
a、91b)を形成するエッチングの際、シリコン含有
有機膜(46、56、64、86)は、ほとんどエッチ
ングされず、マスクの役割を果たす。
【0033】また、当該半導体装置の製造方法は、第1
シリコン含有有機膜(44、54、62、84)の上に
有機膜(45、55、63、85)を形成し、有機膜
(45、55、63、85)の上に第2シリコン含有有
機膜(46、56、64、86)を形成し、第2シリコ
ン含有有機膜(46、56、64、86)をマスクと
し、且つ、第1シリコン含有有機膜(44、54、6
2、84)をエッチングストッパーとして、窒素と水素
の混合系ガスプラズマを用いて、有機膜(45、55、
63、85)に開口(45a、55a、69a、91
b)を形成することを特徴とする。当該半導体装置の製
造方法では、有機膜(45、55、63、85)に開口
(45a、55a、69a、91b)を形成するエッチ
ングは、第1シリコン含有有機膜(44、54、62、
84)によって停止する。同時に、第2シリコン含有有
機膜(46、56、64、86)は、ほとんどエッチン
グされず、マスクの役割を果たす。
【0034】また、当該半導体装置の製造方法は、有機
膜(45、63、85)上にシリコン含有有機膜(4
6、64、86)と無機絶縁膜(47、65、87)と
を形成する工程と、フォトレジスト有機膜パターン(4
8、66、88)を用いて無機絶縁膜(47、65、8
7)を貫きシリコン含有有機膜(46、64、86)に
至る開口部(47a、65a、87a)を形成する工程
と、窒素と水素の混合系ガスプラズマ用いてフォトレジ
スト有機膜パターン(48、66、88)を選択的に除
去する工程と、無機絶縁膜(47、65、87)に形成
された開口部(47a、65a、87a)をマスクとし
てシリコン含有有機膜(46、64、86)および有機
膜(45、63、85)をエッチングする工程とを備え
ることを特徴とする。
【0035】また、当該半導体装置の製造方法は、シリ
コン含有絶縁膜(103、105)と無機絶縁膜(10
4、106)とからなる積層膜の表面と、積層膜に設け
られた開口(103a)の内部とに存在する有機膜(1
09)を、窒素と水素の混合系ガスプラズマを用いて除
去することを特徴とする。当該半導体装置の製造方法
は、有機膜(109)を除去する際に、シリコン含有絶
縁膜(103、105)はほとんどエッチングされな
い。したがって、有機膜(109)を除去する際に充分
なオーバーエッチングが可能である。
【0036】また、当該半導体装置の製造方法は、シリ
コン含有有機膜(34、44、46、54、56、6
2、64、73、82、84、86、103、105)
がジビニルシロキサンベンゾシクロブテンの重合体で形
成されていることを特徴とする
【0037】本発明による半導体装置の製造方法は、
(a)シリコンを含有する有機化合物で形成されたシリ
コン含有有機膜(34、44、46、54、56、6
2、64、73、82、84、86、103、105)
を形成する工程と、(b)シリコンを含有しない有機化
合物で形成されたシリコン非含有有機膜(35、45、
55、63、72、83、85、109)を、シリコン
含有有機膜(34、44、46、54、56、62、6
4、73、82、84、86、103、105)に接続
するように形成する工程と、(c)窒素と水素とを含む
エッチングガスを使用して、シリコン非含有有機膜(3
5、45、55、63、72、83、85、109)を
エッチングする工程とを備える。
【0038】シリコン非含有有機膜(35、45、5
5、63、72、83、85、109)は、窒素と水素
との混合系ガスプラズマによって、容易にエッチングさ
れるのに対し、シリコン含有有機膜(34、44、4
6、54、56、62、64、73、82、84、8
6、103)は、窒素と水素との混合系ガスプラズマに
よっては、ほとんどエッチングされない。これにより、
シリコン非含有有機膜(35、45、55、63、7
2、83、85、109)をエッチングする際に、シリ
コン含有有機膜(34、44、46、54、56、6
2、64、73、82、84、86、103)に対する
選択比を高くすることができる。
【0039】また、当該半導体装置の製造方法は、
(d)シリコンを含有する第1有機化合物で形成された
シリコン含有有機膜(34、44、54、62、82、
84)を、基板(30〜33、40〜43、50〜5
3、61、71、82、101)の上面側に形成する工
程と、(e) シリコン含有有機膜(34、44、5
4、62、82、84)の上面側に、シリコンを実質的
に含有しない第2有機化合物で形成されたシリコン非含
有有機膜(35、45、55、63、83、85)を形
成する工程と、(f) シリコン非含有有機膜(35、
45、55、63、83、85)を、窒素と水素とを含
むエッチングガスを使用してエッチングし、シリコン含
有有機膜(34、44、54、62、82、84)を露
出する工程とを備える。当該半導体装置の製造方法は、
シリコン非含有有機膜(35、45、55、63、8
3、85)のエッチングが、シリコン含有有機膜(3
4、44、54、62、82、84)の上面で停止す
る。
【0040】当該半導体装置の製造方法は、更に、
(g) シリコン非含有有機膜(45、55、63、8
5)の上面側に、シリコンを含有する有機化合物で形成
された他のシリコン含有有機膜(46、56、64、8
6)を形成する工程と、(h) 他のシリコン含有有機
膜(46、56、64、86)に開口を設ける工程とを
備え、前述の(f)工程は、(i)窒素と水素とを含む
エッチングガスを使用して、シリコン非含有有機膜(4
5、55、63、85)をその開口からエッチングし、
シリコン含有有機膜(44、54、62、82、84)
の表面の一部を露出する工程を含むことがある。当該半
導体装置の製造方法では、シリコン非含有有機膜(4
5、55、63、85)のエッチングは、シリコン含有
有機膜(44、54、62、82、84)によって停止
する。同時に、他のシリコン含有有機膜(46、56、
64、86)は、ほとんどエッチングされず、マスクの
役割を果たす。
【0041】このとき、当該半導体装置の製造方法は、
更に、(j)他のシリコン含有有機膜(56)の上面側
から、シリコン含有有機膜(54)と他のシリコン含有
有機膜(56)とを同時にエッチングして、シリコン含
有有機膜(54)の表面の露出された部分から基板(5
0〜53)に到達する他の開口を形成する工程を備える
ことがある。このとき、他のシリコン含有有機膜(5
6)の膜厚は、シリコン含有有機膜(54)の膜厚より
厚いことが好ましい。
【0042】本発明の半導体装置の製造方法は、(k)
シリコンを実質的に含有しない第1有機化合物で形成さ
れたシリコン非含有有機膜(45、55、63、85)
を、基板(40〜44、50〜54、61、62、81
〜84)の上面側に形成する工程と、(l)シリコン非
含有有機膜(45、55、63、85)の上面側に、シ
リコンを含有する第2有機化合物で形成されたシリコン
含有有機膜(46、56、64、86)を形成する工程
と、(m)シリコン含有有機膜(46、56、64、8
6)に開口を設ける工程と、(n)窒素と水素とを含む
エッチングガスを使用して、シリコン非含有有機膜(4
5、55、63、85)をその開口からエッチングし、
基板(40〜44、50〜54、61、62、81〜8
4)を露出する工程とを備えている。
【0043】また、本発明による半導体装置の製造方法
は、(o)シリコンを含有する第1有機化合物で形成さ
れたシリコン含有有機膜(103、105)を形成する
工程と、(p)シリコン含有有機膜(103、105)
に開口(103a)を形成する工程と、(q)開口(1
03a)の内部に、シリコンを含有しない第2有機化合
物で形成されたシリコン非含有有機膜(109)を形成
する工程と、(r)シリコン非含有有機膜(109)を
窒素と水素とを含むエッチングガスを使用して除去する
工程とを備えている。当該半導体装置の製造方法は、シ
リコン非含有有機膜(109)を除去する際に、シリコ
ン含有絶縁膜(103、105)はほとんどエッチング
されない。したがって、有機膜(109)を除去する際
に充分なオーバーエッチングが可能である。
【0044】当該半導体装置の製造方法において、窒素
と水素とを含むエッチングガスは、実質的に酸素を含ま
ないことが望ましい。窒素と水素とを含むエッチングガ
スに、酸素が含まれていると、シリコン含有有機膜(3
4、44、46、54、56、62、64、73、8
2、84、86、103、105)がエッチングされて
しまい好ましくない。
【0045】また、当該半導体装置の製造方法におい
て、第1有機化合物は、下記構造式を有する化合物の重
合体を含むことが好ましい。
【化3】
【0046】また、当該半導体装置の製造方法におい
て、第1有機化合物は、ジビニルシロキサンベンゾシク
ロブテンが重合された重合体を含むことが好ましい。
【0047】また、当該半導体装置の製造方法におい
て、第1有機化合物は、シロキサンポリイミドが重合さ
れた重合体を含むことが好ましい。
【0048】また、当該半導体装置の製造方法におい
て、第1有機化合物は、シリコン酸化膜よりも比誘電率
が低いことが好ましい。
【0049】また、当該半導体装置の製造方法におい
て、第2有機化合物は、シリコン酸化膜よりも比誘電率
が低いことが好ましい。
【0050】本発明による半導体装置の構造は、半導体
基板(30、40、50)上にシリコンを含まない有機
系低誘電率膜(35、45、55、63、72、83、
85、109)と、この有機系低誘電率膜(35、4
5、55、63、72、83、85、109)の下もし
くは上、あるいはその上下に形成されるシリコン含有有
機膜(34、44、46、54、56、62、64、7
3、82、84、86、103、105)を具備するこ
とを特徴とする。
【0051】また、本発明による半導体装置の構造は、
半導体基板上にシリコンを含まない有機系低誘電率膜
と、この有機系低誘電率膜の下もしくは上、あるいはそ
の上下に形成されるシリコン含有有機膜からなる積層膜
の開口部に導電膜が選択的に埋め込まれていることを特
徴とする。
【0052】また、本発明による半導体装置の構造は、
シリコン含有有機膜(34、44、46、54、56、
62、64、73、82、84、86、103、10
5)がジビニルシロキサンベンゾシクロブテンが重合さ
れた重合体で形成されたことを特徴とする。
【0053】本発明による半導体装置は、シリコンを含
む第1有機化合物で形成されたシリコン含有有機膜(3
4、44、46、54、56、62、64、73、8
2、84、86、103、105)と、シリコン含有有
機膜(34、44、46、54、56、62、64、7
3、82、84、86、103、105)に接続し、且
つ、シリコンを含まない第2有機化合物で形成されたシ
リコン非含有有機膜(35、45、55、63、72、
83、85、109)とを備えている。当該半導体装置
は、窒素と水素との混合系ガスプラズマによって、シリ
コン非含有有機膜(35、45、55、63、72、8
3、85、109)をエッチングすることにより、され
たシリコン含有有機膜(34、44、46、54、5
6、62、64、73、82、84、86、103、1
05)と、シリコン含有有機膜(34、44、46、5
4、56、62、64、73、82、84、86、10
3、105)との選択比を高くしながら製造され得る。
【0054】また、本発明による半導体装置は、基板
(61、81〜83)と、基板(61、81〜83)の
上面側に形成され、シリコンを含む第1有機化合物で形
成されたシリコン含有有機膜(62、84)と、シリコ
ン含有有機膜(62、84)の上面側に形成され、シリ
コンを含まない第2有機化合物で形成され、且つ、シリ
コン含有有機膜(62、84)に到達する配線溝(69
b、91)が形成されたシリコン非含有有機膜(63、
85)と、配線溝(69b、91)の内部に形成された
導電体(70、92)とを備える。
【0055】また、当該半導体装置において、第1有機
化合物は、下記構造式を有する化合物の重合体を含むこ
とが好ましい。
【化4】
【0056】本発明によるハードマスクは、シリコンを
含まない有機化合物で形成された有機膜(45、55、
63、85)をエッチングするためのハードマスクであ
る。当該ハードマスクは、シリコンを含む有機化合物で
形成されたシリコン含有有機膜(46、56、64、8
6)を備えている。
【0057】本発明によるエッチングストッパー膜は、
シリコンを含まない有機化合物で形成された有機膜(3
5、45、55、63、83、85)をエッチングする
ためのエッチングストッパー膜である。当該シリコンを
含む有機化合物で形成されたシリコン含有有機膜(3
2、44、54、62、82、84)を備えている。
【0058】
【発明の実施の形態】以下、添付図面を参照しながら、
本発明による実施の一形態の半導体集積回路の製造方法
を説明する。
【0059】本発明による実施の一形態の半導体集積回
路の製造方法では、シリコンを含有する有機化合物で形
成されたシリコン含有有機膜と、シリコンを含有しない
有機化合物で形成されたシリコン非含有有機膜とが積層
され、積層構造体が形成される。
【0060】更に、シリコン非含有有機膜が、窒素ガス
と水素ガスとを含むエッチングガス中で発生されたN
/Hプラズマによりエッチングされる。シリコン非含
有有機膜が、N/Hプラズマにより容易にエッチン
グされるのに対し、シリコン含有有機膜は、N/H
プラズマに対して耐エッチングを有する。即ち、シリコ
ン含有有機膜とシリコン非含有有機膜とが積層された積
層構造体をN/Hプラズマによりエッチングすると
高い選択比が得られる。
【0061】また、シリコン含有有機膜を構成する有機
化合物としては、シロキサン結合(Si−O−Si)を
持つ有機化合物が重合された重合体が好ましい。特に、
下記構造式:
【化5】 を有する有機化合物が重合された重合体が使用されるこ
とが好ましい。ここで、R〜Rは、いずれも、炭化
水素である。
【0062】このとき、〔化5〕で示される有機化合物
が重合された重合体としては、特に、ジビニルシロキサ
ンベンゾシクロブテンが重合されたジビニルシロキサン
ベンゾシクロブテン重合体が使用されることが好まし
い。ジビニルシロキサンベンゾシクロブテンは、次式の
構造を有する。
【化6】 ジビニルシロキサンベンゾシクロブテンが重合される
と、次式の構造を有するジビニルシロキサンベンゾシク
ロブテン重合体が形成される。
【化7】
【0063】また、シロキサンポリイミドが重合された
シロキサンポリイミド重合体を使用することも好まし
い。シロキサンポリイミド重合体は、次式の構造を有す
る。
【化8】
【0064】シリコン含有有機膜は、スピン塗付法及び
プラズマ重合法のいずれの成膜方法で形成することも可
能である。スピン塗付法が使用される場合、まず、出発
原料であるモノマーが基板にスピン塗付される。更に、
基板をアニールすることにより、モノマーが熱重合さ
れ、シリコン含有有機膜が形成される。また、プラズマ
重合法が使用される場合、出発原料であるモノマーが気
化されて、モノマー蒸気が生成される。そのモノマー蒸
気が不活性ガス中に導入され、更に重合されて、シリコ
ン含有有機膜が形成される。
【0065】一方、前述のシリコン非含有有機膜は、 (1)半導体装置を構成する層間絶縁膜 (2)フォトレジスト (3)フォトリソグラフィーを行う際の反射防止膜 の3つの使用形態で使用され得る。
【0066】シリコン非含有有機膜が半導体装置を構成
する層間絶縁膜として使用される場合、シリコン非含有
有機膜の比誘電率は、シリコン酸化膜よりも低いことが
好ましい。このようなシリコン非含有有機膜としては、
全芳香族有機化合物で構成されているSilkTM(米
国ダウケミカル社製の化学物質の商品名)が使用され得
る。また、シリコン非含有有機膜としては、フッ化ナフ
タレン、ナフタレン、マレイミドベンゾシクロブテン、
パーフロロシクロブテンアロマティックエーテル(PF
CB)、及びフッ化ベンゾシクロブテンの重合体が使用
され得る。
【0067】フッ化ナフタレン、ナフタレン、マレイミ
ドベンゾシクロブテン、パーフロロシクロブテンアロマ
ティックエーテル(PFCB)、フッ化ベンゾシクロブ
テンの構造式を以下に示す。フッ化ナフタレン:
【化9】 ナフタレン:
【化10】 マレイミドベンゾシクロブテン:
【化11】 パーフロロシクロブテンアロマティックエーテル(PF
CB):
【化12】 フッ化ベンゾシクロブテン:
【化13】
【0068】また、半導体装置を構成する層間絶縁膜と
して使用されるシリコン非含有有機膜は、下記構造式で
示されるベンゾシクロブテンの誘導体が重合された重合
体で形成されることが可能である。
【化14】 ここでRは、ビニル基のような不飽和炭化水素基であ
る。シリコン非含有有機膜が、〔化14〕で示されるベ
ンゾシクロブテンの誘導体が重合された重合体で形成さ
れることは、ベンゾシクロブテンの誘導体のモノマーか
ら、重合体が形成される際に副生成物が生成されない点
で好ましい。
【0069】また、半導体装置を構成する層間絶縁膜と
して使用されるシリコン非含有有機膜は、下記構造式で
示されるベンゾシクロブテン誘導体の重合体で形成され
た有機膜であることが可能である。
【化15】 但し、Qは、以下に示される構造式群のいずれかの構造
式を有する基である。
【化16】
【化17】
【化18】
【化19】
【化20】
【化21】
【化22】
【化23】
【化24】
【化25】
【0070】シリコン非含有有機膜が、〔化15〕〜
〔化25〕で示されるベンゾシクロブテンの誘導体が重
合された重合体で形成されることは、ベンゾシクロブテ
ンの誘導体のモノマーから、重合体が形成される際に副
生成物が生成されない点で好ましい。
【0071】また、半導体装置を構成する層間絶縁膜と
して使用されるシリコン非含有有機膜は、下記構造式で
示される有機化合物で形成された有機膜であることが可
能である。 ポリイミド:
【化26】
【化27】 フッ素樹脂:
【化28】
【化29】 パリレン:
【化30】
【化31】
【化32】 ポリアリルエーテル及びそのフッ素化誘導体:
【化33】
【0072】以下では、シリコン含有有機膜として、ジ
ビニルシロキサンベンゾシクロブテン重合体で形成され
た有機膜(以下、単に、「BCB膜」という)が使用さ
れ、シリコン非含有有機膜として、SilkTM(米国
ダウケミカル社製)で形成されたSilkTM膜が使用
される場合の、シリコン含有有機膜とシリコン非含有有
機膜の形成方法、エッチング方法及びエッチング特性を
示す。
【0073】BCB膜は、プラズマ重合法により形成さ
れる。図18は、BCB膜を形成するプラズマ重合装置
200を示す。プラズマ重合装置200は、原料タンク
201、液体流量制御器202、気化器203、気体流
量制御器204、真空反応室205、ポンプ206、及
びRF電源207を含む。
【0074】原料タンク201は、ジビニルシロキサン
ベンゾシクロブテンモノマー211を気化器203に供
給する。原料タンク201には、ジビニルシロキサンベ
ンゾシクロブテンモノマー211が入っている。ジビニ
ルシロキサンベンゾシクロブテンモノマー211は、室
温で液体である。原料タンク201には、加圧Heガス
212が供給されている。ジビニルシロキサンベンゾシ
クロブテンモノマー211は、加圧Heガス212によ
り加圧され、液体流量制御器202を介して気化器20
3に送られる。
【0075】気化器203は、ジビニルシロキサンベン
ゾシクロブテンモノマー211を気化して気化モノマー
214を生成し、真空反応室205に供給する。気化器
203には、気体流量制御器204を介して、Heキャ
リアガス213が供給されている。ジビニルシロキサン
ベンゾシクロブテンモノマー211とHeキャリアガス
213とは混合され、気化器203に含まれる気化室
(図示されない)に送られる。気化室は、1.3×10
Pa程度に減圧され、更に、200℃程度に加熱され
ている。気化室に送られたジビニルシロキサンベンゾシ
クロブテンモノマー211は、瞬時に気化され、気化モ
ノマー214が生成される。ジビニルシロキサンベンゾ
シクロブテンモノマー211の気化能は、0.1〜0.
5g/min程度である。気化された気化モノマー21
4は、真空反応室215に送られる。
【0076】真空反応室205では、気化モノマー21
4が重合され、BCB膜216が基板215に形成され
る。真空反応室205は、ポンプ206によって減圧さ
れる。真空反応室205には、基板ヒータ205aとシ
ャワーヘッド205bとが設けられている。基板ヒータ
205aには、低周波電源(図示されない)が接続さ
れ、430kHzの低周波電圧が供給される。シャワー
ヘッド205bには、RF電源207が接続され、1
3.56MHzの高周波電圧が供給される。基板ヒータ
205aに430kHzの低周波電圧が、シャワーヘッ
ド205bに13.56MHzの高周波電圧が供給され
ると、基板ヒータ205aとシャワーヘッド205bと
の間に、Heプラズマ217が発生する。Heプラズマ
217の中に、気化モノマー214が導入されると、
[化6]の構造式を持つジビニルシロキサンベンゾシク
ロブテンが有するシクロ基の開環反応とビニル基の重合
反応とが進行し、[化7]の構造式を持つジビニルシロ
キサンベンゾシクロブテン重合体からなるBCB膜21
6が基板215に形成される。このような成膜方法によ
り、耐熱性が400℃以上、比誘電率kが2.4〜2.
7であるBCB膜216が、実際に得られる。
【0077】一方、シリコン非含有有機膜を構成するS
ilkTMは、全芳香族有機化合物であり、炭素と水素
から構成されている。SilkTMのポリマーで形成さ
れるSilkTM膜は、以下の過程で形成される。ま
ず、SilkTMのモノマー溶液を基板に塗付する。続い
て、モノマー溶液が塗布された基板を、窒素中で100
℃〜150℃程度でアニールし、モノマー溶液に含まれ
ている溶媒を乾燥する。続いて、基板を、400℃で3
0分程度加熱する。加熱により熱重合反応が発生し、S
ilkTMのポリマーからなるSilkTM膜が形成さ
れる。Silk 膜の耐熱性は450℃、比誘電率k
=2.6である。
【0078】下記の[表1]は、上述の工程により形成
されたBCB膜とSilkTM膜とを、それぞれエッチ
ングしたときのエッチング速度を示す。エッチング速度
は、(1)NガスとHガスの混合ガスをエッチング
ガスにしてエッチングした場合と、(2)NガスとO
ガスの混合ガスをエッチングガスにしてエッチングし
た場合とについて示されている。
【表1】 但し、エッチング条件は、以下の通りである。Nガス
とHガスの混合ガスをエッチングガスとして使用した
ときのNガスの流量とHガスの流量とは、いずれも
200sccmである。即ち、NガスとHガスの混
合ガスの組成比は、[N]:[H]=1:1であ
る。また、NガスとOガスの混合ガスをエッチング
ガスとして使用したときのNガスの流量は、150s
ccmであり、Oガスの流量は、30sccmであ
る。即ち、NガスとOガスの混合ガスの組成比は、
[N]:[O]=5:1である。また、使用されて
いるエッチング装置は、平行平板電極型のエッチング装
置であり、電極間距離は、30(mm)である。また、
上部電極への供給電力は、1800(W)、下部電極へ
の供給電力は、150(W)、エッチング圧力は、2.
7(Pa)である。
【0079】[表1]に示されているように、シリコン
非含有有機膜であるSilkTM膜は、NガスとH
ガスの混合ガスをエッチングガスとしてエッチングする
と、エッチングが進行する。一方、シリコン含有有機膜
であるBCB膜は、NガスとHガスの混合ガスをエ
ッチングガスとしてエッチングしようとしても、エッチ
ングがほとんど進行しない。このように、BCB膜は、
ガスとHガスの混合ガス中で発生されたプラズマ
に対して耐エッチング性を有する。これは、有機高分子
中に存在するシリコンが水素プラズマに対して不活性で
あることに起因すると推定される。
【0080】一方、BCB膜は、NガスとOガスの
混合ガスをエッチングガスとしてエッチングすると、エ
ッチングされる。これは、BCB膜は、NガスとO
ガスとの混合ガス中で発生されたN/Oプラズマに
より、エッチング可能であることを示している。
【0081】シロキサンポリイミド重合膜のような、他
のシリコン含有有機膜についても、同様なエッチング特
性が認められる。
【0082】シリコン非含有有機膜をエッチングする際
のエッチングガスは、Nガスが含まれず、Hガスが
100%であることも可能である。しかし、エッチング
ガスが、NガスとHガスの混合ガスであることによ
り、エッチング速度は増加する。したがって、シリコン
非含有有機膜をエッチングする際のエッチングガスとし
ては、NガスとOガスの混合ガスが使用されること
が好ましい。好ましくは、NガスとHガスのモル比
は、[N]:[H]=1:3であることが好まし
い。これにより、エッチングガスがHガスが100%
である場合の約2倍のエッチング速度が得られる。
【0083】シリコン含有有機膜をエッチングする際に
は、エッチングガスであるNガスとOガスの混合ガ
スにフルオロカーボンのようなフッ素系ガスを添加する
ことが望ましい。シリコンのフッ化物の蒸気圧は低いた
め、シリコン含有有機膜をエッチングしたときに残渣が
残りにくくなる。このとき、全エッチングガスに対する
フッ素系ガスのモル比は、0.1〜5.0mol%であ
ることが望ましい。
【0084】シリコン含有有機膜のシリコン含有率は、
比誘電率とエッチング特性の観点から、45質量%以下
であることが望ましく、特に、20質量%以下であるこ
とが望ましい。シリコン含有率が50質量%を超える
と、比誘電率が顕著に増大する。更に、シリコン含有率
が50質量%を超えると、NガスとOガスの混合ガ
スをエッチングガスとしてエッチングする際、エッチン
グしにくくなる。
【0085】また、シリコン含有有機膜のシリコン含有
率は、N/Hプラズマに対する耐エッチング性の観
点から、1質量%以上であることが望ましい。
【0086】以上に説明されているように、本実施の形
態の半導体装置の製造方法は、シリコンを含有する有機
化合物で形成されたシリコン含有有機膜とシリコンを含
有しない有機化合物で形成されたシリコン非含有有機膜
とを積層する工程と、NガスとHガスの混合ガスを
エッチングガスとしてシリコン非含有有機膜をエッチン
グする工程とを含む。このような半導体装置の製造方法
は、以下の使用形態で使用され得る。 (1)シリコン含有有機膜をマスクとして、シリコン非
含有有機膜をエッチングするエッチング加工。 (2)シリコン含有有機膜をエッチングストッパーとし
てシリコン非含有有機膜に開口を形成する開口形成。 (3)シリコン非含有有機膜であるフォトレジストや反
射防止膜を、シリコン含有有機膜の上から、又はシリコ
ン含有有機膜に形成された開口から選択的に除去する選
択除去。 以下では、(1)〜(3)の使用形態が、実施例により
詳細に説明される。
【0087】
【実施例】実施例1:図1、図2及び図3は、実施例1
に係る半導体装置の製造方法を示す断面図である。実施
例1では、シリコン含有有機膜をエッチングストッパー
としてシリコン非含有有機膜に開口が形成される。
【0088】まず、図1(a)に示されているように、
シリコン基板30の上面に、MOSトランジスタ31が
形成される。より詳細には、ソシリコン基板30の上面
に、ース/ドレイン31a、ゲート31b、及びサイド
ウォール31cが形成される。ソース/ドレイン31
b、ゲート31c、及びサイドウォール31dは、MO
Sトランジスタ31を構成する。
【0089】続いて、図1(b)に示されているよう
に、シリコン酸化膜32が、層間絶縁膜として、MOS
トランジスタ31を覆うように形成される。更に、図1
(c)に示されているように、MOSトランジスタ31
のソース/ドレイン31bに接続するプラグ33が形成
される。プラグ33は、タングステンプラグのような導
電性プラグで形成される。
【0090】続いて、図2(a)に示されているよう
に、シリコン酸化膜32とプラグ33とを被覆するよう
に、BCB膜34が形成される。前述されているよう
に、BCB膜34は、ジビニルシロキサンベンゾシクロ
ブテン重合体により構成されるシリコン含有有機膜であ
る。BCB膜34は、シリコン酸化膜よりも低い比誘電
率を有し、その比誘電率は2.6である。
【0091】更に、BCB膜34の上面に、Silk
TM膜35が形成される。Silk 膜35は、スピ
ンオン塗付により形成される。後述されるように、Si
lk 膜35の下面にあるBCB膜34は、Silk
TM膜35をエッチングする際のエッチングストッパー
になる。
【0092】更に、SilkTM膜35の上面に、シリ
コン窒化膜36とシリコン酸化膜37とが順次に形成さ
れる。シリコン窒化膜36とシリコン酸化膜37とは、
SilkTM膜35をエッチングする際のハードマスク
になる。
【0093】続いて、図2(b)に示されているよう
に、シリコン酸化膜37の上面に、フォトレジスト38
が形成される。更に、フォトリソグラフィー技術によ
り、フォトレジスト38にレジストパターン38aが形
成される。
【0094】続いて、図2(c)に示されているよう
に、レジストパターン38aが形成されたフォトレジス
ト38をマスクとして、シリコン酸化膜37がエッチン
グされ、開口37aが形成される。更に、酸素プラズマ
により、フォトレジスト38が除去される。このとき、
SilkTM膜35の上面は、シリコン窒化膜36によ
り被覆され、SilkTM膜35は酸素プラズマに曝さ
れない。したがって、フォトレジスト38を除去する際
に、SilkTM膜35がエッチングされることはな
い。
【0095】続いて、図3(a)に示されているよう
に、シリコン酸化膜37をハードマスクとして、シリコ
ン窒化膜36がエッチングされ、ハードマスクパターン
36aが形成される。
【0096】続いて、図3(b)に示されているよう
に、シリコン酸化膜37とシリコン窒化膜36とをハー
ドマスクとして、SilkTM膜35がエッチングさ
れ、BCB膜34に到達する開口35aが形成される。
SilkTM膜35は、NガスとHガスとの混合ガ
スをエッチングガスとしてエッチングされる。このと
き、BCB膜34は、N/Hプラズマに対して耐エ
ッチング性を示すため、BCB膜34の上面で、Sil
TM膜35のエッチングは停止する。
【0097】更に、開口35aの底部にあるBCB膜3
4がエッチングされ、プラグ33に到達するコンタクト
が形成される。更に、スパッタ法によりTa/TaNバ
リア膜(図示されない)と、めっきの電極として使用さ
れる電極用銅薄膜(図示されない)とが形成される。
【0098】続いて、銅がめっきにより埋め込まれ、更
にCMP法により不必要なTa/TaNバリア膜と銅薄
膜とが除去されて、図3(c)に示されているように、
銅配線39が形成される。
【0099】実施例1の半導体装置の製造方法では、比
誘電率がシリコン窒化膜の約3分の1であるBCB膜が
エッチングストッパーとして使用され、形成された半導
体装置の配線間容量が減少されている。
【0100】実施例2:図4、図5及び図6は、実施例
2に係る半導体装置の製造方法を示す断面図である。実
施例2では、一のシリコン含有有機膜をハードマスクと
し、且つ、他のシリコン含有有機膜をエッチングストッ
パーとしてシリコン非含有有機膜に開口が形成される。
【0101】まず、図4(a)に示されているように、
シリコン基板40の上面に、MOSトランジスタ41が
形成される。より詳細には、シリコン基板40の上面
に、MOSトランジスタ41を構成するソース/ドレイ
ン41a、ゲート41b、及びサイドウォール41cが
形成される。
【0102】続いて、図4(b)に示されているよう
に、層間絶縁膜として、シリコン酸化膜42がMOSト
ランジスタ41を覆うように形成される。更に、図4
(c)に示されているように、MOSトランジスタ41
のソース/ドレイン41bに接続するプラグ43が形成
される。プラグ43は、タングステンプラグのような導
電性プラグで形成される。
【0103】続いて、図5(a)に示されているよう
に、シリコン酸化膜42とプラグ43とを被覆するよう
に、第1BCB膜44が形成される。第1BCB膜44
は、ジビニルシロキサンベンゾシクロブテン重合体によ
り構成されるシリコン含有有機膜である。第1BCB膜
44は、シリコン酸化膜よりも低い比誘電率を有し、そ
の比誘電率は2.6である。
【0104】更に、第1BCB膜44の上面に、Sil
TM膜45が形成される。SilkTM膜45は、ス
ピンオン塗付により形成される。後述されるように、S
ilkTM膜45の下面にある第1BCB膜44は、S
ilkTM膜45をエッチングする際のエッチングスト
ッパーになる。
【0105】更に、SilkTM膜45の上面には、第
2BCB膜46と、シリコン酸化膜47とが順次に形成
される。第2BCB膜46は、ジビニルシロキサンベン
ゾシクロブテン重合体により構成されるシリコン含有有
機膜である。後述されるように、第2BCB膜46と、
シリコン酸化膜47とは、SilkTM膜45をエッチ
ングする際のハードマスクとなる。
【0106】続いて、図5(b)に示されているよう
に、第2BCB膜46の上面にフォトレジスト48が形
成される。更に、フォトリソグラフィー技術を用いてフ
ォトレジスト48に配線溝パターン48aが形成され
る。
【0107】続いて、図5(c)に示されているよう
に、フォトレジスト48をマスクとして、シリコン酸化
膜47がエッチングされ、パターン47aが形成され
る。更に、シリコン酸化膜47のエッチングの後、N
/Hプラズマによりフォトレジスト48が除去され
る。
【0108】第2BCB膜46は、N/Hプラズマ
に対して耐エッチング性を有し、N /Hプラズマを
使用してエッチングされたときの第2BCB膜46のエ
ッチング速度は低い。一方、フォトレジスト48は、N
/Hプラズマでアッシングされる際のアッシング速
度が大きい。したがって、N/Hプラズマによりフ
ォトレジスト48を除去しても、第2BCB膜46は、
エッチングされない。
【0109】続いて、図6(a)に示されているよう
に、シリコン酸化膜47をマスクとして、第2BCB膜
46がN/Oプラズマによりエッチングされ、パタ
ーン46aが形成される。
【0110】続いて、図6(b)に示されているよう
に、パターン46aが形成された第2BCB膜46と、
シリコン酸化膜47とをハードマスクとして、Silk
TM膜45が、N/Hプラズマでエッチングされ、
第1BCB膜44に到達する開口45aが形成される。
このとき、N/Hプラズマに対して耐エッチング性
を示す第1BCB膜44の上面で、SilkTM膜45
のエッチングは停止する。
【0111】続いて、シリコン酸化膜47をハードマス
クとして、第1BCB膜44が窒素ガスと酸素ガスの混
合ガスをエッチングガスとしてエッチングされ、プラグ
44に到達するコンタクトが形成される。このとき、エ
ッチングガスに0.1〜5mol%程度のフッ素系ガス
が添加されることが好ましい。
【0112】更に、イオン化スパッタ法でTa/TaN
バリア膜(図示されない)と電極用銅膜(図示されな
い)とが形成される。更に、形成された電極用銅膜を電
極として、銅が、めっき法によりコンタクトに埋め込ま
れる。更に、CMP法により、不必要なTa/TaNバリ
ア膜と銅膜とが除去されて、銅配線49が形成される。
【0113】実施例2の半導体装置の製造方法では、エ
ッチングストッパーとハードマスクの両者が低誘電率の
シリコン含有有機膜であるBCB膜により形成され、更
なる配線間容量の低減が実現される。
【0114】実施例3:図7、図8、及び図9は、実施
例3に係る半導体装置の製造方法を示す断面図である。
実施例3は、一のシリコン含有有機膜をマスクとし、且
つ、他のシリコン含有有機膜をエッチングストッパーと
してシリコン非含有有機膜に開口が形成される点で実施
例2と共通するが、実施例3の製造方法の構成は、実施
例2の構成とは異なる。
【0115】まず、図7(a)に示されているように、
シリコン基板50の上面に、MOSトランジスタ51が
形成される。より詳細には、シリコン基板50の上面
に、MOSトランジスタ51を構成するソース/ドレイ
ン51a、ゲート51b、及びサイドウォール51cが
形成される。
【0116】続いて、図7(b)に示されているよう
に、層間絶縁膜として、シリコン酸化膜52がMOSト
ランジスタ51を覆うように形成される。更に、図7
(c)に示されているように、MOSトランジスタ51
のソース/ドレイン51bに接続するプラグ53が形成
される。プラグ53は、タングステンプラグのような導
電性プラグで形成される。
【0117】続いて、図8(a)に示されているよう
に、シリコン酸化膜52とプラグ53とを被覆するよう
に、第1BCB膜54が形成される。第1BCB膜54
は、ジビニルシロキサンベンゾシクロブテン重合体によ
り構成されるシリコン含有有機膜である。第1BCB膜
54は、シリコン酸化膜よりも低い比誘電率を有し、そ
の比誘電率は2.6である。
【0118】更に、第1BCB膜54の上面に、Sil
TM膜55が形成される。SilkTM膜55は、ス
ピンオン塗付により形成される。後述されるように、S
ilkTM膜55の下面にある第1BCB膜54は、S
ilkTM膜55をエッチングする際のエッチングスト
ッパーになる。
【0119】更に、SilkTM膜55の上面に、第2
BCB膜56が形成される。第2BCB膜54は、第1
BCB膜54と同様に、ジビニルシロキサンベンゾシク
ロブテン重合体により構成されるシリコン含有有機膜で
ある。第2BCB膜56は、第1BCB54よりも厚く
形成される。
【0120】続いて、図8(b)に示されているよう
に、第2BCB膜56の上面にフォトレジスト57が形
成される。更に、フォトリソグラフィー技術を用いてフ
ォトレジスト57に開口溝57aが形成される。
【0121】続いて、図8(c)に示されているよう
に、フォトレジスト57をマスクとして、N/O
ラズマで第2BCB膜56がエッチングされる。
【0122】第2BCB膜56のエッチングが完了した
後、図9(a)に示されているように、N/Hプラ
ズマによってSilkTM膜55のエッチングと、フォ
トレジスト57の除去とが同時に行われる。Silk
TM膜55には、開口55aが形成される。Silk
TM膜55とフォトレジスト57とはいずれもシリコン
非含有有機膜であり、N/Hプラズマによってエッ
チングされたときのエッチング速度は大きい。一方、第
1BCB膜54と第2BCB膜56は、N/Hプラ
ズマによってエッチングされたときのエッチング速度は
非常に小さい。フォトレジスト57のN/Hプラズ
マによるエッチングは第2BCB膜56の上面で停止
し、且つ、SilkTM膜55のN/Hプラズマに
よるエッチングは、第1BCB膜54の上面で停止す
る。したがって、フォトレジスト57の剥離を行いなが
ら、同時に、SilkTM膜55に開口55aを形成す
ることが可能である。
【0123】続いて、第2BCB膜56をハードマスク
として、N/Oプラズマにより第1BCB膜56が
エッチングされ、プラグ53に到達するコンタクトが形
成される。この際、第1BCB膜56がエッチングされ
るとともに、第2BCB膜56もN/Oプラズマに
よりエッチングされる。このため、前述されているよう
に、第2BCB膜56は、第1BCB膜54に比べて厚
く形成されることが好ましい。
【0124】続いて、図9(b)に示されているよう
に、コンタクトに銅が埋め込まれ、銅配線58が形成さ
れる。
【0125】実施例2では、BCB膜とシリコン酸化膜
とが積層されたデュアルハードマスクが使用されている
が、実施例3の半導体装置の製造方法では、このような
デュアルハードマスクは使用されない。実施例3の半導
体装置の製造方法では、シリコン酸化膜よりも誘電率が
低い第2BCB膜56が単独でハードマスクとして用い
られ、さらなる配線間容量の低減が可能である。
【0126】以上に説明された、実施例1から実施例3
の半導体装置の製造方法は、銅配線の形成と、ビアの形
成とのいずれにも使用され得ることは自明的である。
【0127】実施例4:図10、図11は、実施例4に
係る半導体装置の製造方法を示す断面図である。まず、
図10(a)に示されているように、シリコン基板30
の上面に、下部配線構造61が形成される。下部配線構
造61は、MOSトランジスタ31、シリコン酸化膜3
2、プラグ33、BCB膜34、SilkTM膜35、
シリコン窒化膜36、シリコン酸化膜37、銅配線39
とを含み、その形成過程は、前述の実施例1に係る半導
体装置の製造方法と同一である。
【0128】続いて、下部配線構造61の上面にBCB
膜62が形成される。更に、BCB膜62の上面に、S
ilkTM膜63が形成される。更に、SilkTM
63の上面に、BCB膜64とシリコン酸化膜65と
が、順次に、形成される。後述されるように、BCB膜
64とシリコン酸化膜65とは、SilkTM膜63を
エッチングする際のデュアルハードマスクになる。
【0129】続いて、図10(b)に示されているよう
に、シリコン酸化膜65の上面にフォトレジスト66が
形成され、更に、フォトリソグラフィー技術を用いてフ
ォトレジスト66に配線溝形成用レジストパターン66
aが形成される。
【0130】続いて、図10(c)に示されているよう
に、配線溝パターン66aが形成されたフォトレジスト
66をマスクとして、フッ素系プラズマを用いてシリコ
ン酸化膜65がエッチングされ、配線溝パターン65a
が形成される。更に、N/Hプラズマによりフォト
レジスト66が剥離される。この際、シリコン酸化膜6
5の配線溝パターン65aの底部では、BCB膜64が
露出している。しかし、BCB膜64は、N/H
ラズマに対して耐エッチング性を有し、フォトレジスト
66の際にエッチングされることはない。
【0131】続いて、図11(a)に示されているよう
に、シリコン酸化膜65の上面に、フォトレジスト67
が形成される。更に、フォトリソグラフィー技術を用い
てフォトレジスト67にビア開口用レジストパターン6
8が形成される。
【0132】続いて、図11(b)に示されているよう
に、銅配線39に到達するビア開口69aと、配線溝6
9bとが形成される。より詳細には、以下のようにし
て、ビア開口69aと配線溝69bとが形成される。ビ
ア開口用レジストパターン68が形成された後、まず、
フォトレジスト67をマスクとして、フッ素系ガスをエ
ッチングガスとしてシリコン酸化膜65がエッチングさ
れる。続いて、エッチングガスが窒素ガスと酸素ガスの
混合ガスに切り替えられる。これにより、レジストパタ
ーン68の底面にあるBCB膜64、SilkTM膜6
3、BCB膜62が、順次にエッチングされ、ビア開口
69aが形成される。この際、最上層に位置するシリコ
ン酸化膜65はN/Oプラズマによってエッチング
されないため、ビア開口69aの寸法シフトが生じにく
い。
【0133】更に、その後、エッチングガスが窒素ガス
と水素ガスの混合ガスに切り替えられ、フォトレジスト
67が完全に除去される。更に、N/Oプラズマに
よって、シリコン酸化膜65の配線溝パターン65aの
底部にあるBCB膜64が除去された後、シリコン酸化
膜65とBCB膜64とをデュアルハードマスクとして
SilkTM膜63がN/Hプラズマでエッチング
され、配線溝69bが形成される。その際、配線溝69
bの底部に現われるBCB膜62はエッチングストッパ
ーとして作用する。
【0134】続いて、図11(c)に示されているよう
に、ビア開口69aと配線溝69bとに銅が埋め込ま
れ、銅配線70が形成される。
【0135】実施例4の半導体装置の製造方法では、シ
リコン窒化膜やシリコン酸化膜、炭化シリコン膜のよう
な高い比誘電率を有するエッチングストッパーが使用さ
れておらず、配線間容量の大きな減少が実現される。
【0136】実施例5:図12、図13は、実施例5に
係る半導体装置の製造方法を示す断面図である。まず、
図12(a)に示されているように、シリコン基板30
の上面に、下部配線構造71が形成される。下部配線構
造71は、MOSトランジスタ31、シリコン酸化膜3
2、プラグ33、BCB膜34、SilkTM膜35、
シリコン窒化膜36、シリコン酸化膜37、銅配線39
とを含み、その形成過程は、前述の実施例1に係る半導
体装置の製造方法と同一である。
【0137】更に、下部配線構造71の上面に、Sil
TM膜72がスピン塗布法によって成膜される。更
に、SilkTM膜72の上面に、低誘電率のシリコン
含有有機膜であるBCB膜73が成膜される。更に、B
CB膜73を覆うように、シリコン酸化膜74が成長さ
れる。後述されるように、シリコン酸化膜74は、BC
B膜73をエッチングするときのハードマスクになる。
【0138】続いて、図12(b)に示されているよう
に、シリコン酸化膜74の上面にフォトレジスト75が
形成される。更に、フォトリソグラフィー技術を用いて
フォトレジスト75に配線溝形成用レジストパターン7
5aが形成される。
【0139】続いて、図12(c)に示されているよう
に、フォトレジスト75をマスクとして、フッ素系プラ
ズマを用いてシリコン酸化膜74がエッチングされ、配
線溝パターン74aが形成される。更に、N/H
ラズマによりフォトレジスト75が剥離される。このと
き、配線溝パターン74aの底部において、BCB膜7
3はN/Hプラズマに曝される。しかし、N/H
プラズマに対して耐エッチング性を有するBCB膜7
3は、エッチングされない。
【0140】続いて、図13(a)に示されているよう
に、配線溝パターン74aが形成されたシリコン酸化膜
74の上面に、フォトレジスト77が形成される。更
に、フォトリソグラフィー技術を用いてフォトレジスト
77にビア開口用レジストパターン76が形成される。
【0141】続いて、図13(b)に示されているよう
に、銅配線39に到達するビア開口78aと配線溝78
bとが形成される。より詳細には、以下のようにして、
ビア開口78aと配線溝78bとが形成される。ビア開
口用レジストパターン76が形成された後、まず、フォ
トレジスト77をマスクとして、N/Oプラズマに
よってBCB膜73がエッチングされる。更に、エッチ
ングガスが窒素ガスと水素ガスとの混合ガスに切り替え
られ、N/HプラズマによってSilk 膜72
がエッチングされ、銅配線39に到達するビア開口78
aが形成される。
【0142】N/HプラズマによってSilkTM
膜72がエッチングされる際には、同時に、フォトレジ
スト75が剥離される。N/HプラズマによってS
ilkTM膜72のエッチングとフォトレジスト75の
剥離とが行われた後、シリコン酸化膜74をマスクとし
て、BCB膜78がエッチングされ、配線溝78bが形
成される。
【0143】続いて、図13(c)に示されているよう
に、ビア開口78aと配線溝78bとに一括して銅が埋
め込まれ、銅配線79が形成される。
【0144】実施例5の半導体装置の製造方法では、シ
リコン窒化膜やシリコン酸化膜、炭化シリコン膜のよう
な高い比誘電率を有するエッチングストッパーが使用さ
れておらず、実施例4と同様に、配線間容量の大きな減
少が実現される。
【0145】実施例6:図14と図15とは、実施例6
に係る半導体装置の製造方法を示す断面図である。
【0146】まず、図14(a)に示されているよう
に、シリコン基板30の上面に、下部配線構造81が形
成される。下部配線構造81は、MOSトランジスタ3
1、シリコン酸化膜32、プラグ33、BCB膜34、
SilkTM膜35、シリコン窒化膜36、シリコン酸
化膜37、銅配線39とを含み、その形成過程は、前述
の実施例1に係る半導体装置の製造方法と同一である。
【0147】更に、下層配線構造81の上面に、キャッ
プBCB膜82が形成される。キャップBCB膜82
は、シリコン含有有機膜であるBCB膜により形成され
る。更に、キャップBCB膜82の上面に、Silk
TM膜83をスピン塗布法によって成膜する。更に、S
ilkTM膜83の上面にBCB膜84が形成される。
更に、BCB膜84の上面にSilkTM膜85が形成
される。後述されるように、SilkTM膜85の下面
にあるBCB膜84は、SilkTM膜85をエッチン
グする際のエッチングストッパーになる。更に、Sil
TM膜85の上面には、BCB膜86とシリコン酸化
膜87とが、順次に成膜される。後述されるように、B
CB膜86とシリコン酸化膜87とは、SilkTM
85をエッチングする際のデュアルハードマスクにな
る。
【0148】続いて、図14(b)に示されているよう
に、シリコン酸化膜87の上面にフォトレジスト88が
形成される。更に、フォトリソグラフィー技術を用いて
フォトレジスト88に配線溝形成用レジストパターン8
8aが形成される。
【0149】続いて、図14(c)に示されているよう
に、フォトレジスト88をマスクとして、フッ素系プラ
ズマを用いてシリコン酸化膜87がエッチングされ、配
線溝パターン87aが形成される。更に、N/H
ラズマによりフォトレジスト88が剥離される。このと
き、配線溝パターン87aの底部において、BCB膜8
6はN/Hプラズマに曝される。しかし、N/H
プラズマに対して耐エッチング性を有するBCB膜8
6は、エッチングされない。したがって、BCB膜86
の下面にあるSilkTM膜85もエッチングされな
い。
【0150】続いて、図15(a)に示されているよう
に、シリコン酸化膜87の上面に、フォトレジスト90
が形成される。更に、フォトリソグラフィー技術を用い
てフォトレジスト90にビア開口用レジストパターン8
9が形成される。
【0151】続いて、図15(b)に示されているよう
に、銅配線39に到達するビア開口91aと配線溝91
bとが形成される。より詳細には、以下のようにしてビ
ア開口91aと配線溝91bとが形成される。ビア開口
用レジストパターン89が形成された後、まず、フォト
レジスト90をマスクとして、N/Oプラズマによ
り、BCB膜86と、SilkTM膜85と、BCB膜
84とが、順次にエッチングされる。この時のエッチン
グは、フォトレジスト88がBCB膜84のエッチング
が完了した時点では除去されるているような条件で行わ
れる。更に、N /Hプラズマにより、SilkTM
膜83のエッチングが行われる。SilkTM膜83の
エッチングは、BCB膜で形成されたキャップ膜BCB
82の上面で停止する。かかる一連のエッチングによ
り、BCB膜86、SilkTM膜85、BCB膜8
4、及びSilkTM膜83を貫き、且つ、キャップB
CB膜82に到達する開口が形成される。
【0152】続いて、エッチングガスが窒素ガスと酸素
ガスの混合ガスに切り替えられる。これにより、前述の
開口の底部にあるキャップBCB膜82が除去され、ビ
ア開口91aが形成される。このとき、同時に、シリコ
ン酸化膜87に形成された配線溝パターン87aの下に
あるBCB膜86が除去される。続いて、エッチングガ
スが、再び窒素ガスと水素ガスの混合ガスに切り替えら
れ、SilkTM膜85に配線溝91bが形成される。
【0153】その後、図15(c)に示されているよう
に、ビア開口91aと配線溝91bとに一括して銅が埋
め込まれ、銅配線92が形成される。
【0154】実施例6の半導体装置の製造方法は、実施
例4及び実施例5の半導体装置の製造方法と同様に、配
線間容量の大きな減少を実現する。
【0155】実施例7:図16と図17とは、実施例7
に係る半導体装置の製造方法を示す断面図である。
【0156】まず、図16(a)に示されているよう
に、シリコン基板30の上面に、下部配線構造101が
形成される。下部配線構造101は、MOSトランジス
タ31、シリコン酸化膜32、プラグ33、BCB膜3
4、SilkTM膜35、シリコン窒化膜36、シリコ
ン酸化膜37、銅配線39とを含み、その形成過程は、
前述の実施例1に係る半導体装置の製造方法と同一であ
る。
【0157】更に、下層配線構造101の上面に、キャ
ップ膜として、25nm程度の薄いシリコン窒化膜10
2が成膜される。シリコン窒化膜102が薄くされてい
ることにより、実効的な比誘電率の上昇が抑制されてい
る。シリコン窒化膜102の上面には、BCB膜103
が成膜される。更に、BCB膜103の上面に、20n
m程度のシリコン窒化膜104が成膜される。更に、シ
リコン窒化膜104の上面に、BCB膜105が成膜さ
れる。BCB膜105の下面にあるシリコン窒化膜10
4は、BCB膜105をエッチングする際のエッチング
ストッパーになる。
【0158】BCB膜105の上面には、更に、シリコ
ン酸化膜106が成膜される。シリコン酸化膜106
は、BCB膜105をエッチングする際のハードマスク
になる。更に、シリコン酸化膜106の上面には、反射
防止膜107が塗布により形成される。
【0159】反射防止膜107の上面には、フォトレジ
スト108が形成される。更に、フォトリソグラフィー
技術により、フォトレジスト108に、ビア開口用レジ
ストパターン108aが形成される。
【0160】続いて、図16(b)に示されているよう
に、フォトレジスト108をマスクとして、窒素ガスと
酸素ガスとフッ素系ガスの混合ガスをエッチングガスと
して反射防止膜107、シリコン酸化膜106、BCB
膜105、シリコン窒化膜104、及びBCB膜103
が、順次エッチングされ、ビア開口パターン103aが
形成される。このときのエッチングは、シリコン窒化膜
102に到達すると停止する。更に、N/Hプラズ
マによりフォトレジスト108と反射防止膜107とが
剥離される。このとき、BCB膜103、BCB膜10
5、シリコン窒化膜102、シリコン窒化膜104は、
いずれも、N/Hプラズマによりエッチングされな
いので、ビア開口パターン103aの寸法シフトは発生
しにくい。
【0161】続いて、図16(c)に示されているよう
に、反射防止膜109が塗布される。このとき、ビア開
口パターン103aの内部に、塗付された反射防止膜1
09が多量に埋まる。続いて、フォトレジスト110
が、反射防止膜109の上面に成膜される。成膜された
フォトレジスト110に、配線溝形成用レジストパター
ン110aがフォトリソグラフィー技術により形成され
る。
【0162】続いて、図17(a)に示されているよう
に、フォトレジスト110をマスクとし、窒素ガスと酸
素ガスとフッ素系ガスとの混合ガスをエッチングガスと
して、反射防止膜109、BCB膜105が順次にエッ
チングされ、配線溝105aが形成される。このとき、
BCB膜105のエッチングは、シリコン窒化膜104
で自動的に停止する。
【0163】その後、図17(b)に示されているよう
に、残存している反射防止膜109とフォトレジスト1
08とが、N/Hプラズマによりアッシングされ
る。このとき、BCB膜103とBCB膜105とは、
/Hプラズマによりエッチングされない。したが
って、反射防止膜109とフォトレジスト108とのア
ッシングの際には、充分なオーバーエッチングを行うこ
とが可能である。反射防止膜109とフォトレジスト1
08とが、充分にN/Hプラズマによりアッシング
され、前述のビア開口パターン103aが、再度、開口
される。
【0164】その後、ビア開口パターン103aの底部
にあるシリコン窒化膜102と、配線溝105aの底部
にあるシリコン窒化膜104がエッチバックにより除去
される。その後、イオン化スパッタ法で100nm厚程
度の銅シード膜が成長され、更に、MOCVD法により
銅膜が成長され、配線溝105aとビア開口パターン1
03aとに銅膜が埋め込まれる。このとき、Ta/Ta
Nバリア膜は成長されない。これは、BCB膜自体に銅
の拡散を防ぐバリア性があるためである。その後、35
0℃から400℃でアニールが行われる。すると、銅膜
のうち、ビア開口パターン103aの内部にある銅膜
は、下部配線構造101の銅配線39の結晶方位を引き
継いでエピ成長する。ビア開口パターン103aの内部
の銅膜は、銅配線39と結合され、概ね、単結晶化され
る。このようにして形成された単結晶化銅ビアは、抵抗
が通常のビア抵抗に対して50%程度以上も低く、エレ
クトロマイグレーション耐性は、10倍以上高い。
【0165】以上に説明された実施例7の半導体装置の
製造方法は、ビア開口パターン103aに厚く埋まった
反射防止膜109を十分にアッシングすることが可能で
ある。この実施例7の半導体装置の製造方法は、ビアを
配線溝よりも先行して形成する半導体装置の製造プロセ
スにおいて、配線の微細化のために反射防止膜を用いる
場合に、特に有効である。
【0166】
【発明の効果】本発明により、複数の有機膜を高い選択
比でエッチングする技術が提供される。
【0167】また、本発明により、配線間容量が削減さ
れた半導体装置の製造が可能になる。
【0168】また、本発明により、半導体装置の製造工
程の自由度が高められる。
【図面の簡単な説明】
【図1】図1は、本発明の一実施の形態の実施例1によ
る半導体装置の製造方法を示す。
【図2】図2は、本発明の一実施の形態の実施例1によ
る半導体装置の製造方法を示す。
【図3】図3は、本発明の一実施の形態の実施例1によ
る半導体装置の製造方法を示す。
【図4】図4は、本発明の一実施の形態の実施例2によ
る半導体装置の製造方法を示す。
【図5】図5は、本発明の一実施の形態の実施例2によ
る半導体装置の製造方法を示す。
【図6】図6は、本発明の一実施の形態の実施例2によ
る半導体装置の製造方法を示す。
【図7】図7は、本発明の一実施の形態の実施例3によ
る半導体装置の製造方法を示す。
【図8】図8は、本発明の一実施の形態の実施例3によ
る半導体装置の製造方法を示す。
【図9】図9は、本発明の一実施の形態の実施例3によ
る半導体装置の製造方法を示す。
【図10】図10は、本発明の一実施の形態の実施例4
による半導体装置の製造方法を示す。
【図11】図11は、本発明の一実施の形態の実施例4
による半導体装置の製造方法を示す。
【図12】図12は、本発明の一実施の形態の実施例5
による半導体装置の製造方法を示す。
【図13】図13は、本発明の一実施の形態の実施例5
による半導体装置の製造方法を示す。
【図14】図14は、本発明の一実施の形態の実施例6
による半導体装置の製造方法を示す。
【図15】図15は、本発明の一実施の形態の実施例6
による半導体装置の製造方法を示す。
【図16】図16は、本発明の一実施の形態の実施例7
による半導体装置の製造方法を示す。
【図17】図17は、本発明の一実施の形態の実施例7
による半導体装置の製造方法を示す。
【図18】図18は、ジビニルシロキサンベンゾシクロ
ブテン重合体で形成されたBCB膜を形成する装置を示
す。
【図19】図19は、従来の半導体装置の製造方法を示
す。
【図20】図20は、従来の半導体装置の製造方法を示
す。
【図21】図21は、従来の半導体装置の製造方法を示
す。
【図22】図22は、従来の他の半導体装置の製造方法
を示す。
【図23】図23は、従来の他の半導体装置の製造方法
を示す。
【図24】図24は、従来の他の半導体装置の製造方法
を示す。
【図25】図25は、従来の他の半導体装置の製造方法
を示す。
【符号の説明】
30:シリコン基板 31:MOSトランジスタ 32:シリコン酸化膜 33:プラグ 34:BCB膜 35:SilkTM膜 36:シリコン窒化膜 37:シリコン酸化膜 38:フォトレジスト 39:銅配線 40:シリコン基板 41:MOSトランジスタ 42:シリコン酸化膜 43:プラグ 44:BCB膜 45:SilkTM膜 46:BCB膜 47:シリコン窒化膜 48:フォトレジスト 49:銅配線 50:シリコン基板 51:MOSトランジスタ 52:シリコン酸化膜 53:プラグ 54:BCB膜 55:SilkTM膜 56:BCB膜 57:フォトレジスト 58:銅配線 61:下部配線構造 62:BCB膜 63:SilkTM膜 64:BCB膜 65:シリコン酸化膜 66:フォトレジスト 67:フォトレジスト 70:銅配線 71:下部配線構造 72:SilkTM膜 73:BCB膜 74:シリコン酸化膜 75:フォトレジスト 77:フォトレジスト 79:銅配線 81:下部配線構造 82:キャップBCB膜 83:SilkTM膜 84:BCB膜 85:SilkTM膜 86:BCB膜 87:シリコン酸化膜 88:フォトレジスト 90:フォトレジスト 92:銅配線 101:下部配線構造 102:シリコン窒化膜 103:BCB膜 104:シリコン窒化膜 105:BCB膜 106:シリコン酸化膜 107:反射防止膜 108:フォトレジスト 109:反射防止膜 110:フォトレジスト 111:銅配線
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/90 P S (72)発明者 多田 宗弘 東京都港区芝五丁目7番1号 日本電気株 式会社内 (72)発明者 林 喜宏 東京都港区芝五丁目7番1号 日本電気株 式会社内 Fターム(参考) 5F004 AA05 BA04 CA02 CA03 DA24 DA25 DA26 DB03 DB23 EB03 5F033 HH11 HH21 HH32 JJ11 JJ19 JJ21 JJ32 KK11 KK21 KK32 MM01 MM12 MM13 NN06 NN07 PP11 PP15 PP27 QQ04 QQ09 QQ11 QQ12 QQ15 QQ21 QQ25 QQ28 QQ31 QQ35 QQ48 QQ96 RR04 RR06 RR22 RR23 RR24 SS21 SS22 TT03 TT04 XX01 XX03 XX05 XX09 XX10 XX24 5F045 AA06 AA08 AB32 AB39 AC17 AF03 BB16 CB05 DC63 EE02 EF05 5F058 AA10 AD01 AD05 AD09 AF04 AH02

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】 シリコン含有有機膜と有機膜から構成さ
    れる複合膜に対して、窒素と水素との混合系ガスプラズ
    マを用いて前記有機膜をエッチングすることを特徴とす
    る半導体装置の製造方法。
  2. 【請求項2】 シリコン含有有機膜上に形成された有機
    膜に対し、窒素と水素の混合系ガスプラズマを用いて前
    記シリコン含有有機膜をエッチングストッパーとして前
    記有機膜に開口部を形成することを特徴とする請求項1
    記載の半導体装置の製造方法。
  3. 【請求項3】 有機膜上に形成されたシリコン含有有機
    膜をマスクとし、窒素と水素の混合系ガスプラズマを用
    いて前記有機膜に開口部を形成することを特徴とする請
    求項1記載の半導体装置の製造方法。
  4. 【請求項4】 第1シリコン含有有機膜の上に有機膜
    を形成し、前記有機膜の上に第2シリコン含有有機膜を
    形成し、第2シリコン含有有機膜をマスクとし、且つ、
    第1のシリコン含有有機膜をエッチングストッパーとし
    て、窒素と水素の混合系ガスプラズマを用いて、前記有
    機膜に開口を形成することを特徴とする請求項1から請
    求項3のいずれかに記載の半導体装置の製造方法。
  5. 【請求項5】 有機膜上にシリコン含有有機膜と無機絶
    縁膜とを形成する工程と、 フォトレジスト有機膜パターンを用いて前記無機絶縁膜
    を貫き前記シリコン含有有機膜に至る開口部を形成する
    工程と、 窒素と水素の混合系ガスプラズマを用いてフォトレジス
    ト有機膜パターンを選択的に除去する工程と、 前記無機絶縁膜に形成された前記開口部をマスクとして
    前記シリコン含有有機膜および前記有機膜をエッチング
    する工程を備えることを特徴とする請求項1記載の半導
    体装置の製造方法。
  6. 【請求項6】 シリコン含有絶縁膜と無機絶縁膜とか
    らなる積層膜の表面と、前記積層膜に設けられた開口の
    内部とに存在する有機膜を、窒素と水素の混合系ガスプ
    ラズマを用いて除去することを特徴とする請求項1記載
    の半導体装置の製造方法。
  7. 【請求項7】 前記シリコン含有有機膜がジビニルシロ
    キサンベンゾシクロブテンの重合体で形成されているこ
    とを特徴とする請求項1から請求項6のいずれかに記載
    の半導体装置の製造方法。
  8. 【請求項8】 (a)シリコンを含有する有機化合物で
    形成されたシリコン含有有機膜を形成する工程と、 (b)シリコンを含有しない有機化合物で形成されたシ
    リコン非含有有機膜を、前記シリコン含有有機膜に接続
    するように形成する工程と、 (c)窒素と水素とを含むエッチングガスを使用して、
    前記シリコン非含有有機膜をエッチングする工程とを備
    える半導体装置の製造方法。
  9. 【請求項9】 (d)シリコンを含有する第1有機化合
    物で形成されたシリコン含有有機膜を、基板の上面側に
    形成する工程と、 (e) 前記シリコン含有有機膜の上面側に、シリコン
    を実質的に含有しない第2有機化合物で形成されたシリ
    コン非含有有機膜を形成する工程と、 (f) 前記シリコン非含有有機膜を、窒素と水素とを
    含むエッチングガスを使用してエッチングし、前記シリ
    コン含有有機膜を露出する工程とを備える半導体装置の
    製造方法。
  10. 【請求項10】 請求項9に記載の半導体装置の製造方
    法において、 更に、 (g) 前記シリコン非含有有機膜の上面側に、シリコ
    ンを含有する有機化合物で形成された他のシリコン含有
    有機膜を形成する工程と、 (h) 前記他のシリコン含有有機膜に開口を設ける工
    程とを備え、 前記(f)工程は、 (i)窒素と水素とを含むエッチングガスを使用して、
    前記シリコン非含有有機膜を前記開口からエッチング
    し、前記シリコン含有有機膜の表面の一部を露出する工
    程を含む半導体装置の製造方法。
  11. 【請求項11】 請求項10に記載の半導体装置の製造
    方法において、 更に、 (j)前記他のシリコン含有有機膜の上面側から、前記
    シリコン含有有機膜と前記他のシリコン含有有機膜とを
    同時にエッチングして、前記一部から前記基板に到達す
    る他の開口を形成する工程を備え、 前記他のシリコン含有有機膜の膜厚は、前記シリコン含
    有有機膜の膜厚より厚い半導体装置の製造方法。
  12. 【請求項12】 (k)シリコンを実質的に含有しない
    第1有機化合物で形成されたシリコン非含有有機膜を、
    基板の上面側に形成する工程と、 (l)前記シリコン非含有有機膜の上面側に、シリコン
    を含有する第2有機化合物で形成されたシリコン含有有
    機膜を形成する工程と、 (m)前記シリコン含有有機膜に開口を設ける工程と、 (n)窒素と水素とを含むエッチングガスを使用して、
    前記シリコン非含有有機膜を前記開口からエッチング
    し、前記基板を露出する工程とを備える半導体装置の製
    造方法。
  13. 【請求項13】 (o)シリコンを含有する第1有機化
    合物で形成されたシリコン含有有機膜を形成する工程
    と、 (p)前記シリコン含有有機膜に開口を形成する工程
    と、 (q)前記開口の内部に、シリコンを含有しない第2有
    機化合物で形成されたシリコン非含有有機膜を形成する
    工程と、 (r)前記シリコン非含有有機膜を窒素と水素とを含む
    エッチングガスを使用して除去する工程とを備える半導
    体装置の製造方法。
  14. 【請求項14】 請求項8から請求項13のいずれか一
    に記載の半導体装置の製造方法において、 前記エッチングガスは、実質的に酸素を含まない半導体
    装置の製造方法。
  15. 【請求項15】 請求項8から請求項13のいずれか一
    に記載の半導体装置の製造方法において、 前記第1有機化合物は、下記構造式を有する化合物の重
    合体を含む半導体装置の製造方法。 【化1】 〜R:炭化水素基
  16. 【請求項16】 請求項8から請求項13のいずれか一
    に記載の半導体装置の製造方法において、 前記第1有機化合物は、ジビニルシロキサンベンゾシク
    ロブテンが重合された重合体を含む半導体装置の製造方
    法。
  17. 【請求項17】 請求項8から請求項13のいずれか一
    に記載の半導体装置の製造方法において、 前記第1有機化合物は、シロキサンポリイミドが重合さ
    れた重合体を含む半導体装置の製造方法。
  18. 【請求項18】 請求項8から請求項13のいずれか一
    に記載の半導体装置の製造方法において、 前記第1有機化合物は、シリコン酸化膜よりも比誘電率
    が低い半導体装置の製造方法。
  19. 【請求項19】 請求項8から請求項13のいずれか一
    に記載の半導体装置の製造方法において、 前記第2有機化合物は、シリコン酸化膜よりも比誘電率
    が低い半導体装置の製造方法。
  20. 【請求項20】 半導体基板上にシリコンを含まない有
    機系低誘電率膜と、この有機系低誘電率膜の下もしくは
    上、あるいはその上下に形成されるシリコン含有有機膜
    を具備することを特徴とする半導体装置。
  21. 【請求項21】 半導体基板上にシリコンを含まない有
    機系低誘電率膜と、この有機系低誘電率膜の下もしくは
    上、あるいはその上下に形成されるシリコン含有有機膜
    からなる積層膜の開口部に導電膜が選択的に埋め込まれ
    ていることを特徴とする請求項20記載の半導体装置。
  22. 【請求項22】 シリコン含有有機膜がジビニルシロキ
    サンベンゾシクロブテンが重合された重合体で形成され
    たことを特徴とする請求項20又は請求項21のいずれ
    かに記載の半導体装置。
  23. 【請求項23】 シリコンを含む第1有機化合物で形成
    されたシリコン含有有機膜と、 前記シリコン含有有機膜に接続し、且つ、シリコンを含
    まない第2有機化合物で形成されたシリコン非含有有機
    膜とを備える半導体装置。
  24. 【請求項24】 基板と、 前記基板の上面側に形成され、シリコンを含む第1有機
    化合物で形成されたシリコン含有有機膜と、 前記シリコン含有有機膜の上面側に形成され、シリコン
    を含まない第2有機化合物で形成され、且つ、前記シリ
    コン含有有機膜に到達する配線溝が形成されたシリコン
    非含有有機膜と、 前記配線溝の内部に形成された導電体とを備える半導体
    装置。
  25. 【請求項25】 請求項23又は請求項24のいずれか
    に記載の半導体装置において、 前記第1有機化合物は、下記構造式を有する化合物の重
    合体を含む半導体装置。 【化2】
  26. 【請求項26】 請求項23又は請求項24のいずれか
    に記載の半導体装置において、 前記第1有機化合物は、ジビニルシロキサンベンゾシク
    ロブテンが重合された重合体を含む半導体装置。
  27. 【請求項27】 請求項23又は請求項24のいずれか
    に記載の半導体装置において、 前記第1有機化合物は、シロキサンポリイミドが重合さ
    れた重合体を含む半導体装置。
  28. 【請求項28】 シリコンを含む有機化合物で形成され
    たシリコン含有有機膜を備えているシリコンを含まない
    有機化合物で形成された有機膜をエッチングするための
    ハードマスク。
  29. 【請求項29】 シリコンを含む有機化合物で形成され
    たシリコン含有有機膜を備えているシリコンを含まない
    有機化合物で形成された有機膜をエッチングするための
    エッチングストッパー膜。
JP2001047358A 2001-02-22 2001-02-22 半導体装置の製造方法、及び半導体装置 Pending JP2002252222A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2001047358A JP2002252222A (ja) 2001-02-22 2001-02-22 半導体装置の製造方法、及び半導体装置
KR1020020009268A KR20020068958A (ko) 2001-02-22 2002-02-21 반도체 장치의 제조방법 및 반도체 장치
US10/080,848 US6972453B2 (en) 2001-02-22 2002-02-22 Method of manufacturing a semiconductor device capable of etching a multi-layer of organic films at a high selectivity

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001047358A JP2002252222A (ja) 2001-02-22 2001-02-22 半導体装置の製造方法、及び半導体装置

Publications (1)

Publication Number Publication Date
JP2002252222A true JP2002252222A (ja) 2002-09-06

Family

ID=18908806

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001047358A Pending JP2002252222A (ja) 2001-02-22 2001-02-22 半導体装置の製造方法、及び半導体装置

Country Status (3)

Country Link
US (1) US6972453B2 (ja)
JP (1) JP2002252222A (ja)
KR (1) KR20020068958A (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020068958A (ko) * 2001-02-22 2002-08-28 닛뽄덴끼 가부시끼가이샤 반도체 장치의 제조방법 및 반도체 장치
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
JP2007511906A (ja) * 2003-11-14 2007-05-10 東京エレクトロン株式会社 調整可能な反射防止コーティングを含む構造およびその形成方法。
JP2010127737A (ja) * 2008-11-27 2010-06-10 Mitsubishi Electric Corp 赤外線センサの製造方法
JP2010153894A (ja) * 2010-02-19 2010-07-08 Tokyo Electron Ltd 半導体装置の製造方法
KR101007819B1 (ko) 2002-12-06 2011-01-14 제이에스알 가부시끼가이샤 절연막
EP3016134A1 (en) 2014-10-31 2016-05-04 Tokyo Electron Limited Method of etching organic film

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6699792B1 (en) * 2001-07-17 2004-03-02 Advanced Micro Devices, Inc. Polymer spacers for creating small geometry space and method of manufacture thereof
US7163721B2 (en) * 2003-02-04 2007-01-16 Tegal Corporation Method to plasma deposit on organic polymer dielectric film
JP4819501B2 (ja) * 2003-05-29 2011-11-24 日本電気株式会社 配線構造およびその製造方法
JP2005277375A (ja) * 2004-02-27 2005-10-06 Nec Electronics Corp 半導体装置の製造方法
JP4667094B2 (ja) * 2005-03-18 2011-04-06 富士通株式会社 電子装置の製造方法
JP4718894B2 (ja) * 2005-05-19 2011-07-06 株式会社東芝 半導体装置の製造方法
JP2008034463A (ja) * 2006-07-26 2008-02-14 Hitachi Kokusai Electric Inc 基板処理装置
US8791001B2 (en) * 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
US9190323B2 (en) * 2012-01-19 2015-11-17 GlobalFoundries, Inc. Semiconductor devices with copper interconnects and methods for fabricating same
JP2019165090A (ja) * 2018-03-19 2019-09-26 東芝メモリ株式会社 半導体装置の製造方法および半導体製造装置
US20200118828A1 (en) * 2018-10-11 2020-04-16 International Business Machines Corporation Planarization depth triggered by process interaction

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04338630A (ja) * 1991-05-15 1992-11-25 Mitsubishi Electric Corp 半導体装置の製造方法
JPH10113610A (ja) * 1996-10-04 1998-05-06 Dow Corning Corp 電子デバイス用塗膜
JP2000036484A (ja) * 1998-05-11 2000-02-02 Tokyo Electron Ltd プラズマ処理方法
JP2000082695A (ja) * 1998-05-14 2000-03-21 Sony Corp プラズマエッチング法及び半導体装置
JP2001022089A (ja) * 1999-07-05 2001-01-26 Toshiba Corp 絶縁膜の加工方法
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法
JP2001044189A (ja) * 1999-03-15 2001-02-16 Sony Corp 半導体装置の製造方法
JP2003504693A (ja) * 1999-07-07 2003-02-04 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド フォーミングガスプラズマを用いたフォトレジスト除去プロセス

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936089A (ja) * 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
JPH10268526A (ja) * 1997-03-24 1998-10-09 Toshiba Corp 半導体装置の製造方法およびパターン形成方法
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
JPH11214355A (ja) * 1998-01-20 1999-08-06 Nec Corp 異方性ドライエッチング方法
US6417112B1 (en) * 1998-07-06 2002-07-09 Ekc Technology, Inc. Post etch cleaning composition and process for dual damascene system
US6265780B1 (en) * 1998-12-01 2001-07-24 United Microelectronics Corp. Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
JP2000076754A (ja) 1998-08-31 2000-03-14 Tokyo Parts Ind Co Ltd スピンドルモータ
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6207576B1 (en) * 1999-01-05 2001-03-27 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and oxide etch stop layer
US6235628B1 (en) * 1999-01-05 2001-05-22 Advanced Micro Devices, Inc. Method of forming dual damascene arrangement for metal interconnection with low k dielectric constant materials and oxide middle etch stop layer
JP2000252359A (ja) 1999-03-03 2000-09-14 Sony Corp 絶縁膜のエッチング方法および配線層の形成方法
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6395632B1 (en) * 2000-08-31 2002-05-28 Micron Technology, Inc. Etch stop in damascene interconnect structure and method of making
JP2002252222A (ja) * 2001-02-22 2002-09-06 Nec Corp 半導体装置の製造方法、及び半導体装置

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04338630A (ja) * 1991-05-15 1992-11-25 Mitsubishi Electric Corp 半導体装置の製造方法
JPH10113610A (ja) * 1996-10-04 1998-05-06 Dow Corning Corp 電子デバイス用塗膜
JP2000036484A (ja) * 1998-05-11 2000-02-02 Tokyo Electron Ltd プラズマ処理方法
JP2000082695A (ja) * 1998-05-14 2000-03-21 Sony Corp プラズマエッチング法及び半導体装置
JP2001044189A (ja) * 1999-03-15 2001-02-16 Sony Corp 半導体装置の製造方法
JP2001022089A (ja) * 1999-07-05 2001-01-26 Toshiba Corp 絶縁膜の加工方法
JP2003504693A (ja) * 1999-07-07 2003-02-04 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド フォーミングガスプラズマを用いたフォトレジスト除去プロセス
JP2001044191A (ja) * 1999-07-27 2001-02-16 Sony Corp 積層絶縁膜とその製造方法および半導体装置とその製造方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020068958A (ko) * 2001-02-22 2002-08-28 닛뽄덴끼 가부시끼가이샤 반도체 장치의 제조방법 및 반도체 장치
KR101007819B1 (ko) 2002-12-06 2011-01-14 제이에스알 가부시끼가이샤 절연막
JP2007511906A (ja) * 2003-11-14 2007-05-10 東京エレクトロン株式会社 調整可能な反射防止コーティングを含む構造およびその形成方法。
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US7968471B2 (en) 2003-11-28 2011-06-28 Nec Corporation Porous insulating film, method for producing the same, and semiconductor device using the same
JP2010127737A (ja) * 2008-11-27 2010-06-10 Mitsubishi Electric Corp 赤外線センサの製造方法
JP2010153894A (ja) * 2010-02-19 2010-07-08 Tokyo Electron Ltd 半導体装置の製造方法
EP3016134A1 (en) 2014-10-31 2016-05-04 Tokyo Electron Limited Method of etching organic film
US9711371B2 (en) 2014-10-31 2017-07-18 Tokyo Electron Limited Method of etching organic film

Also Published As

Publication number Publication date
US6972453B2 (en) 2005-12-06
KR20020068958A (ko) 2002-08-28
US20020155639A1 (en) 2002-10-24

Similar Documents

Publication Publication Date Title
JP4048112B2 (ja) 半導体装置の製造方法および半導体装置
JP2002252222A (ja) 半導体装置の製造方法、及び半導体装置
EP1493182B1 (en) Tri-layer masking architecture for patterning dual damascene interconnects
US6541396B2 (en) Method of manufacturing a semiconductor device using a low dielectric constant organic film grown in a vacuum above an inlaid interconnection layer
EP1182275B1 (en) Method of forming an interlayer insulating film
CN1518075B (zh) 有机绝缘膜、其制造方法、使用该有机绝缘膜的半导体器件及其制造方法
JP4090740B2 (ja) 集積回路の作製方法および集積回路
US7052932B2 (en) Oxygen doped SiC for Cu barrier and etch stop layer in dual damascene fabrication
TWI495010B (zh) 用於互連圖案化之硬遮罩移除時之側壁及倒角保護
TWI528454B (zh) 半導體裝置及半導體裝置之製造方法
JP2001044191A (ja) 積層絶縁膜とその製造方法および半導体装置とその製造方法
JP5038567B2 (ja) エッチング方法
EP1333483A1 (en) Method of etching dual damascene structure
KR19990082991A (ko) 반도체 장치의 제조 방법
JP2001351976A (ja) 半導体材料上の低誘電率層を保護する方法
JP2001189302A (ja) ドライエッチング方法及び半導体装置の製造方法
JP3244024B2 (ja) 半導体装置の製造方法
US20050153533A1 (en) Semiconductor manufacturing method and semiconductor manufacturing apparatus
JP4092902B2 (ja) 半導体装置の製造方法
US20030085408A1 (en) Oxygen-doped silicon carbide etch stop layer
GB2371043A (en) Low dielectric insulator and semiconductor structures
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
CN116348989A (zh) 沉积低k介电膜的系统及方法
KR100438630B1 (ko) 반도체 장치의 제조방법
US20060166491A1 (en) Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080111

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100520

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100531

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100730

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100823