TWI495010B - 用於互連圖案化之硬遮罩移除時之側壁及倒角保護 - Google Patents
用於互連圖案化之硬遮罩移除時之側壁及倒角保護 Download PDFInfo
- Publication number
- TWI495010B TWI495010B TW102108087A TW102108087A TWI495010B TW I495010 B TWI495010 B TW I495010B TW 102108087 A TW102108087 A TW 102108087A TW 102108087 A TW102108087 A TW 102108087A TW I495010 B TWI495010 B TW I495010B
- Authority
- TW
- Taiwan
- Prior art keywords
- hard mask
- layer
- substrate
- plasma
- mask layer
- Prior art date
Links
- 238000000059 patterning Methods 0.000 title description 8
- 239000010410 layer Substances 0.000 claims description 234
- 238000000034 method Methods 0.000 claims description 139
- 239000000758 substrate Substances 0.000 claims description 100
- 230000008569 process Effects 0.000 claims description 90
- 238000012545 processing Methods 0.000 claims description 73
- 239000007789 gas Substances 0.000 claims description 52
- 229910020163 SiOCl Inorganic materials 0.000 claims description 41
- 238000005530 etching Methods 0.000 claims description 41
- 239000000203 mixture Substances 0.000 claims description 38
- 229910052751 metal Inorganic materials 0.000 claims description 21
- 239000002184 metal Substances 0.000 claims description 21
- 239000011241 protective layer Substances 0.000 claims description 19
- 238000001020 plasma etching Methods 0.000 claims description 16
- 238000001459 lithography Methods 0.000 claims description 14
- 238000000151 deposition Methods 0.000 claims description 11
- 238000005137 deposition process Methods 0.000 claims description 10
- 238000010438 heat treatment Methods 0.000 claims description 8
- 229910052760 oxygen Inorganic materials 0.000 claims description 8
- 239000011261 inert gas Substances 0.000 claims description 6
- 229910003902 SiCl 4 Inorganic materials 0.000 claims description 5
- 229910052801 chlorine Inorganic materials 0.000 claims description 5
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 4
- 229910052710 silicon Inorganic materials 0.000 claims description 4
- 238000004140 cleaning Methods 0.000 claims description 3
- 238000005019 vapor deposition process Methods 0.000 claims description 3
- 239000000463 material Substances 0.000 description 26
- 229920002120 photoresistant polymer Polymers 0.000 description 23
- 239000000460 chlorine Substances 0.000 description 9
- 238000009826 distribution Methods 0.000 description 9
- 239000010408 film Substances 0.000 description 9
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 9
- 238000012546 transfer Methods 0.000 description 9
- 229910052799 carbon Inorganic materials 0.000 description 7
- 238000013461 design Methods 0.000 description 7
- 230000006698 induction Effects 0.000 description 7
- 239000011148 porous material Substances 0.000 description 7
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 6
- 238000010586 diagram Methods 0.000 description 6
- 229910052731 fluorine Inorganic materials 0.000 description 6
- 238000009413 insulation Methods 0.000 description 6
- 239000004065 semiconductor Substances 0.000 description 6
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 5
- 239000011248 coating agent Substances 0.000 description 5
- 238000000576 coating method Methods 0.000 description 5
- 238000001816 cooling Methods 0.000 description 5
- 239000011737 fluorine Substances 0.000 description 5
- -1 mono-substituted methyl halide Chemical class 0.000 description 5
- 239000004033 plastic Substances 0.000 description 5
- 229920003023 plastic Polymers 0.000 description 5
- 238000007740 vapor deposition Methods 0.000 description 5
- 239000006117 anti-reflective coating Substances 0.000 description 4
- 238000004380 ashing Methods 0.000 description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 229910052736 halogen Inorganic materials 0.000 description 4
- 150000002367 halogens Chemical group 0.000 description 4
- 238000009616 inductively coupled plasma Methods 0.000 description 4
- 230000010354 integration Effects 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 238000004528 spin coating Methods 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 230000008878 coupling Effects 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000005859 coupling reaction Methods 0.000 description 3
- 238000010894 electron beam technology Methods 0.000 description 3
- YUCFVHQCAFKDQG-UHFFFAOYSA-N fluoromethane Chemical compound F[CH] YUCFVHQCAFKDQG-UHFFFAOYSA-N 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 239000011368 organic material Substances 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 239000012071 phase Substances 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 2
- 229910052684 Cerium Inorganic materials 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 2
- 229910000420 cerium oxide Inorganic materials 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- YBMRDBCBODYGJE-UHFFFAOYSA-N germanium dioxide Chemical compound O=[Ge]=O YBMRDBCBODYGJE-UHFFFAOYSA-N 0.000 description 2
- 150000004820 halides Chemical class 0.000 description 2
- 150000008282 halocarbons Chemical class 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 230000001939 inductive effect Effects 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 239000011159 matrix material Substances 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical class C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 230000000116 mitigating effect Effects 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 2
- 239000003361 porogen Substances 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 229920005989 resin Polymers 0.000 description 2
- 239000011347 resin Substances 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- KXGFMDJXCMQABM-UHFFFAOYSA-N 2-methoxy-6-methylphenol Chemical compound [CH]OC1=CC=CC([CH])=C1O KXGFMDJXCMQABM-UHFFFAOYSA-N 0.000 description 1
- 239000004925 Acrylic resin Substances 0.000 description 1
- 229910018182 Al—Cu Inorganic materials 0.000 description 1
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 239000004734 Polyphenylene sulfide Substances 0.000 description 1
- 229910003811 SiGeC Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910052769 Ytterbium Inorganic materials 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- WPPDFTBPZNZZRP-UHFFFAOYSA-N aluminum copper Chemical compound [Al].[Cu] WPPDFTBPZNZZRP-UHFFFAOYSA-N 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- BCZWPKDRLPGFFZ-UHFFFAOYSA-N azanylidynecerium Chemical compound [Ce]#N BCZWPKDRLPGFFZ-UHFFFAOYSA-N 0.000 description 1
- CFJRGWXELQQLSA-UHFFFAOYSA-N azanylidyneniobium Chemical compound [Nb]#N CFJRGWXELQQLSA-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 229920001940 conductive polymer Polymers 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000017858 demethylation Effects 0.000 description 1
- 238000010520 demethylation reaction Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical class FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 239000003822 epoxy resin Substances 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229940119177 germanium dioxide Drugs 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- ZKEYULQFFYBZBG-UHFFFAOYSA-N lanthanum carbide Chemical compound [La].[C-]#[C] ZKEYULQFFYBZBG-UHFFFAOYSA-N 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 238000006213 oxygenation reaction Methods 0.000 description 1
- 238000009931 pascalization Methods 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 239000005011 phenolic resin Substances 0.000 description 1
- 229920001568 phenolic resin Polymers 0.000 description 1
- 229920006122 polyamide resin Polymers 0.000 description 1
- 229920000647 polyepoxide Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 239000009719 polyimide resin Substances 0.000 description 1
- 229920001955 polyphenylene ether Polymers 0.000 description 1
- 229920000069 polyphenylene sulfide Polymers 0.000 description 1
- 238000003825 pressing Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000003134 recirculating effect Effects 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 238000007711 solidification Methods 0.000 description 1
- 230000008023 solidification Effects 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000003786 synthesis reaction Methods 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 229920006337 unsaturated polyester resin Polymers 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- NAWDYIZEMPQZHO-UHFFFAOYSA-N ytterbium Chemical compound [Yb] NAWDYIZEMPQZHO-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/1052—Formation of thin functional dielectric layers
- H01L2221/1057—Formation of thin functional dielectric layers in via holes or trenches
- H01L2221/1063—Sacrificial or temporary thin dielectric films in openings in a dielectric
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
本發明關於一種減輕對低介電常數(low-k)材料損傷的方法。
低k材料在用於金屬互連之絕緣層堆疊中的實際執行面臨艱鉅挑戰。最終的理想是在金屬互連中結合低k材料並達成降低介電常數的全部益處,同時在產生最少損傷的情況下生產一結構堅固、圖案化的絕緣層。當低k損傷累積時,其在金屬互連中以較差效能及不佳可靠度顯露。
本發明的實施例關於一種減輕對低介電常數(低k)材料之損傷的方法。
根據一實施例,描述一種移除硬遮罩的方法。該方法包括利用一或更多蝕刻處理以及一覆蓋於低k絕緣層上的硬遮罩層,在基板上的低k絕緣層中形成凹槽-介層孔結構之至少一部分。之後,該方法包括在凹槽-介層孔結構之暴露的表面上沉積含SiOCl層來形成絕緣保護層;執行一或更多蝕刻處理以從凹槽-介層孔結構上的至少一表面異向性地移除含SiOCl層的至少一部分;以及利用遮罩移除蝕刻處理來移除硬遮罩層。
根據另一實施例,描述一種圖案化低k絕緣層的方法。該方法包括:接收其上形成有低k絕緣層、覆蓋低k絕緣層之第一硬遮罩層、及覆蓋第一硬遮罩層之第二硬遮罩層的基板;在第二硬遮罩層上製備其中形成有凹槽圖案的第一微影遮罩層;將凹槽圖案轉移通過第二硬遮罩層並停
止在第一硬遮罩層上;移除第一微影遮罩層;在第二硬遮罩層上製備其中形成有介層孔圖案的第二微影遮罩層;將介層孔圖案轉移通過第一硬遮罩層並至少部分穿透低k絕緣層;移除第二微影遮罩層;將第二硬遮罩層中的凹槽圖案轉移通過第一硬遮罩層並進入低k絕緣層中達一預定的深度以形成凹槽-介層孔結構;在凹槽-介層孔結構之暴露的表面上沉積含SiOCl層以形成絕緣保護層;執行一或更多蝕刻處理以從凹槽-介層孔結構上的至少一表面異向性地移除含SiOCl層之至少一部分;以及利用遮罩移除蝕刻處理來移除第二硬遮罩層。
200‧‧‧凹槽-介層孔結構
210‧‧‧基板
212‧‧‧金屬線
220‧‧‧頂蓋層
230‧‧‧低k絕緣層
232‧‧‧側壁表面
240‧‧‧硬遮罩層
242‧‧‧第二硬遮罩層
244‧‧‧第一硬遮罩層
250‧‧‧第一微影遮罩層
255‧‧‧第二微影遮罩層
260‧‧‧凹槽圖案
265‧‧‧介層孔圖案
270‧‧‧絕緣保護層
272‧‧‧頂部表面
274‧‧‧底部表面
275‧‧‧倒角
278‧‧‧底部表面
300‧‧‧凹槽-介層孔結構
370‧‧‧介層孔絕緣保護層
400‧‧‧凹槽-介層孔結構
470A‧‧‧第一絕緣保護層
470B‧‧‧殘留絕緣保護層
470C‧‧‧第二絕緣保護層
500‧‧‧電漿處理系統
510‧‧‧電漿處理腔室
520‧‧‧基板支撐件
522‧‧‧電極
525‧‧‧基板
526‧‧‧背面氣體供應系統
528‧‧‧夾持系統
530‧‧‧射頻產生器
531‧‧‧脈衝偏壓信號控制器
532‧‧‧阻抗匹配網路
540‧‧‧氣體分配系統
545‧‧‧電漿處理區
550‧‧‧真空泵系統
555‧‧‧控制器
600‧‧‧電漿處理系統
660‧‧‧磁場系統
700‧‧‧電漿處理系統
770‧‧‧上部電極
772‧‧‧射頻產生器
774‧‧‧阻抗匹配網路
800‧‧‧電漿處理系統
890‧‧‧直流電源
900‧‧‧電漿處理系統
980‧‧‧感應線圈
982‧‧‧射頻產生器
984‧‧‧阻抗匹配網路
1000‧‧‧電漿處理系統
1080‧‧‧感應線圈
1100‧‧‧電漿處理系統
1130‧‧‧表面波電漿源
1190‧‧‧功率耦合系統
在附圖中:圖1顯示根據一實施例的在移除遮罩層時保護暴露之低k表面的方法;圖2A到2J顯示根據一實施例的圖案化低k絕緣層並同時在移除遮罩層時保護暴露之低k表面之方法的示意圖;圖3A到3B顯示根據另一實施例的圖案化低k絕緣層並同時在移除遮罩層時保護暴露之低k表面之方法的示意圖;圖4A到4C顯示根據又另一實施例的圖案化一低k絕緣層並同時在移除遮罩層時保護暴露之低k表面之方法的示意圖;圖5顯示根據一實施例的電漿處理系統的示意圖;圖6顯示根據另一實施例的電漿處理系統的示意圖;圖7顯示根據另一實施例的電漿處理系統的示意圖;圖8顯示根據另一實施例的電漿處理系統的示意圖;圖9顯示根據另一實施例的電漿處理系統的示意圖;圖10顯示根據另一實施例的電漿處理系統的示意圖;以及圖11顯示根據另一實施例的電漿處理系統的示意圖。
為了解釋而不是限制的目的,在以下描述中列出具體細節,例如處理系統的特定幾何、其中所使用的各種元件及製程之描述。然而,應當瞭解,本發明可在背離這些具體細節的其它實施例中執行。
同樣的,為了解釋的目的,故提出具體數目、材料、以及配置以提供對本發明之透徹的瞭解。然而,本發明可不具這些具體細節的情況下實施。此外,應當瞭解,在附圖中的各實施例是說明性的圖示並且不一定是按比例繪製。
各種操作將以最能幫助瞭解本發明的方式按順序描述為多個獨立操作。但是,描述的順序不應被認為是在暗示這些操作一定是相依於順序的。尤其,這些操作不需要按所示順序來執行。所述的操作可以不同於所述實施例的順序來執行。各種額外的操作可被執行,且/或所述操作可在另外的實施例中省略。
於此使用的「基板」泛指根據本發明受處理的物體。基板可以包括裝置的任何材料部份或結構,特別是半導體或其他電子裝置,並且可能例如像是半導體晶圓的基底基板結構、或如薄膜的基底基板結構上或上方的一層。因此,不欲將基板限制於任何特定的基底結構、下方層或上方層、圖案化或未圖案化的,而是設想包括任何這樣的層或基底結構,以及層及/或基底結構的任意組合。以下描述可能引述特定類型的基板,但這只是為了說明的目的,並不是限制。
如以上所述在半導體的製造過程中,當製造用於金屬互連之絕緣層堆疊時,低k材料的整合帶來了許多挑戰。尤其是,在圖案化低k材料時,低k絕緣層係製備在一基板上,並有其中形成有圖案的遮罩層覆蓋在該低k絕緣層上。隨後,使用一或更多蝕刻處理將例如介層孔圖案或凹槽圖案之遮罩層中的圖案轉移到低k絕緣層上。
該一或更多蝕刻處理可被執行來轉移圖案部份進入或完全穿透低k絕緣層。但是,低k絕緣層對蝕刻化學(例如,電漿化學)的暴露可能會對低k絕緣層產生初始損傷,特別是沿著其中形成之圖案的側壁。此外,當遮罩層的殘餘部份經由蝕刻、灰化、及/或剝除處理移除時,低k絕緣層暴露的部份會產生額外的損傷。
在一個例子中,當遮罩層含有像是光阻之有機材料時,移除遮罩層的處理典型地使用例如含氧電漿之含氧化學來移除該有機材料。在這種情況下,含氧化學可能導致低k絕緣層中的碳以及甲基(即,CH3
)的損耗。低k絕緣層的去甲基化在含SiCOH層中特別明顯。因此,已在這些程序中受損的低k絕緣層會有較高的介電常數、容易經由其漏電、以及較高的親水性的問題。
在另一個例子中,當遮罩層含有例如Ti或TiN之金屬時,移除遮罩層的處理典型地使用例如含氟電漿之含氟化學來移除含金屬材料。譬如,NF3
基電漿已用於移除含TiN金屬硬遮罩層。但是,除了其他者外,含氟電漿蝕刻可能導致遮罩底切及形成於低k絕緣層內之圖案的側壁曲折、倒角侵蝕、噴濺金屬的噴濺及再沉積所導致的低k絕緣層的金屬污染。
因此,根據不同的實施例,敘述一種用來保護暴露的低k表面以減少在移除遮罩層時之損傷的方法。該方法係藉由圖1中的流程圖100來表示。如圖1所示,該流程圖100開始於110中利用一或更多蝕刻處理以及覆蓋於低k絕緣層上的硬遮罩層,在基板上的低k絕緣層中形成至少一部份的凹槽-介層孔結構。該凹槽-介層孔結構可用任何習知的技術來製備,包括但不限於單一鑲嵌整合處理方式、雙重鑲嵌整合處理方式、凹槽優先金屬硬遮罩(trech-first metal hard mask,TFMHM)整合處理方式、先介層孔後凹槽(via-first-trench-last,VFTL)整合處理方式等。
例如,圖2A到2J以圖示說明用來製造低k絕緣層230中之溝槽-介層孔結構200的TFMHM整合處理方式。如圖2A所示,接收到的基板210具有形成在其上的低k絕緣層230、以及覆蓋於低k絕緣層230上之至少一硬遮罩層240。該至少一硬遮罩層240可包括覆蓋於低k絕緣層230上之第一硬遮罩層244、以及覆蓋於第一硬遮罩層244上之第二硬遮罩層242。此外,至少一頂蓋層220可被插入於低k絕緣層230及基板210之間。
如圖2A到2J所示,該凹槽-介層孔結構200係形成於低k絕緣層230及其它層之集合中。隨後,凹槽-介層孔結構200係以或更多保形薄膜作為內襯,其中該一或更多保形薄膜包括金屬阻障層、金屬黏結層、或金屬晶種層、或其二或更多者的組合。在形成內襯之後,凹槽-介層孔結構
200係利用例如Cu的金屬填充,並使用例如化學機械平坦化(chemical-mechanical planarization,CMP)加以平坦化以形成金屬互連並與基板210中之(複數)金屬線212達成電氣接觸。
該基板210可包括主體矽基板、單晶矽(摻雜或未摻雜)基板、絕緣體載半導體(semiconductor-on-insulator,SOI)基板、或任何其它含有例如Si、SiC、SiGe、SiGeC、Ge、GaAs、InAs、InP、以及其它III/V或Ⅱ/Ⅵ化合物半導體、或其任意組合的半導體基板(II、III、V、VI族是參照古典的或舊的IUPAC元素週期表中的表示法;根據修訂的或新的IUPAC表示法,這些族將分別表示為2、13、15、16族)。該基板可以是任何尺寸,例如200mm(毫米)基板、300mm基板、450mm基板、或甚至是更大的基板。如以上所述,基板210可以包括其它層,例如其它先前形成電氣接觸將對其作成之互連層。
低k絕緣層230可包括低介電常數(即低k)或超低介電常數(即超低k)之介電層,該介電層具有小於大約為4的二氧化矽(SiO2
)之介電常數(例如,熱二氧化矽的介電常數可以是在3.8到3.9的範圍之間)的標稱介電常數。更具體來說,低k絕緣層230可具有小於3.7的介電常數、或小於2.5的介電常數、或介於1.6到3.7之間的介電常數。低k絕緣層230可以是多孔或無孔的。
例如,該低k絕緣層230可包括含SiCOH材料。此外,例如,低k絕緣層230可包括多孔無機-有機混合薄膜,該多孔無機-有機混合薄膜係由單相之例如具有CH3
鍵之矽氧化物系基質組成,CH3
鍵阻止固化或沉積過程中薄膜的完全緻密化以製造小的空隙(或孔)。再或者,例如,低k絕緣層230可包括多孔無機-有機混合薄膜,該多孔無機-有機混合薄膜係由至少兩相之例如具有在固化過程中分解並蒸發的有機材料(例如,致孔劑(porogen))形成之孔隙的碳掺雜矽氧化物系基質組成。
低k絕緣層230可使用氣相沉積技術來形成,例如化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沈積(ALD)、電漿增強ALD(PEALD)、物理氣相沈積(PVD)、或離子化PVD(iPVD),或是旋塗技術,例如 商業上可由Tokyo Electron Limited(TEL)取得的Clean Track ACT 8 SOD(旋塗式介電質)、ACT 12 SOD、及Lithius塗佈系統中所
提供者。Clean Track ACT 8(200mm)、ACT 12(300mm)、及Lithius(300mm)塗佈系統提供用於SOD材料的塗佈、烘烤、以及固化工具。該軌道系統可配置來處理100mm、200mm、300mm、或更大尺寸的基板。其它用來在基板上形成薄膜的系統及方法是熟悉旋塗技術和氣相沉積技術領域者所熟知的。
如以上所述,該至少一硬遮罩層240可包括覆蓋於低k絕緣層230上之第一硬遮罩層244,以及覆蓋於第一硬遮罩層244上之第二硬遮罩層242。第一硬遮罩層244可包括含Si材料或含C材料。該含Si或C材料可包括例如矽氧化物(Six
Oy
)、矽氮化物(Six
Ny
)、矽氮氧化物(Six
Oy
Nz
)、矽碳氧化物(Six
Oy
Cz)、或碳(類鑽石碳(DLC)、非晶碳(a-C)、或石墨)、或其任意組合。此外,第二硬遮罩層242可包含金屬、或含金屬材料。第二硬遮罩層242可包含鈦(Ti)、鈦氮化物(TiNy)、鉭(Ta)、鉭氮化物(TaNy
)、鋁(Al)、或鋁-銅合金(Al-Cu)。例如,第二硬遮罩層242可包含Ti或TiN。
該頂蓋層220可包括單層或多層。例如,該頂蓋層220可包含氮摻雜矽碳化物或Si-N-C-H。此外,例如,頂蓋層220可包含矽氮化物(SiNy
)、矽碳化物(SiCy
)、矽碳氮化物(SiCx
Ny
)、或SiCx
Ny
Hz
、或其二或更多者的組合。頂蓋層220可使用氣相沉積製程形成,例如化學氣相沉積(CVD)、或電漿增強CVD(PECVD)。此外,頂蓋層220可包括設置於低k絕緣層230及頂蓋層220之間、及/或頂蓋層220和基板210之間的一緩變層。
如圖2A所示,其中形成有凹槽圖案260的第一微影遮罩層250係製備在第二硬遮罩層242上。該第一微影遮罩層250可包含一輻射敏感性材料層,例如光阻。該光阻可包含248nm(nanometer,奈米)光阻、193nm光阻、157nm光阻、極紫外光(EUV,extreme ultraviolet)光阻、或電子束敏感性光阻。該光阻可用軌道系統來形成。例如,該軌道系統可包含商業上可自Tokyo Electron Limited(TEL)取得的Clean Track ACT 8、ACT 12、或Lithius光阻塗佈及顯影系統。其它用來在基板上形成光阻層的系統及方法是熟悉旋塗光阻技術領域者所熟知的。
此外,第一微影遮罩層250可包括抗反射塗佈(anti-reflective coating,ARC)層,例如商業上可自Shin Estu Chemical Co.,Ltd.的Sepr-Shb Aseries SiARC取得的含矽ARC。該選擇性ARC層,可藉由例如旋塗技術、或氣相沉積處理來塗佈。
此外,該第一微影遮罩層250可包括有機平坦化層(organic planarization layer,OPL)或有機介電層(organic dielectric layer,ODL)。該ODL或OPL可包括感光有機聚合物或蝕刻型有機化合物。舉例來說,該感光有機聚合物可為聚丙烯酸酯樹脂、環氧樹脂、酚醛樹脂、聚醯胺樹脂、聚醯亞胺樹脂、不飽和聚酯樹脂、聚苯醚樹脂、聚苯硫醚樹脂、或苯並環丁烯(BCB)。這些材料可藉由旋塗技術或氣相沉積技術來形成。
凹槽圖案260可使用一系列的微影和選擇性的蝕刻步驟在第一微影遮罩250中形成。一旦製備完成,該圖案(或一系列已製備圖案)可利用一或更多蝕刻處理(例如一或更多電漿蝕刻處理)轉移到下方之薄膜(亦即至少一硬遮罩層240以及低k絕緣層230)。
如圖2B所示,該凹槽圖案260轉移到第二硬遮罩層242之中,並且凹槽圖案260的轉移停在第一硬遮罩層244。將凹槽圖案260轉移到第二硬遮罩層242中包括執行一或更多蝕刻處理,例如一或更多電漿蝕刻處理。之後,利用一或更多灰化及/或剝除處理來移除第一微影遮罩層250。
如圖2C所示,其中形成有介層孔圖案265之第二微影遮罩層255係製備在第二硬遮罩層242上。該第二微影遮罩層255可包含例如光阻之輻射敏感性材料層。該光阻可包含248nm光阻、193nm光阻、157nm光阻、極紫外光(EUV,extreme ultraviolet)光阻、或電子束敏感性光阻。該光阻可用一軌道系統來形成。例如,該軌道系統可包含商業上可自Tokyo Electron Limited(TEL)取得的Clean Track ACT 8、ACT 12、或Lithius光阻塗佈及顯影系統。其它用來在基板上形成光阻層的系統及方法是熟悉旋塗光阻技術領域者所熟知的。
如圖2D所示,介層孔圖案265係轉移穿透第一硬遮罩層244,並且至少部份穿透低k絕緣層230。經由介層孔圖案265到低k絕緣層230中的轉移包括執行一或更多蝕刻處理,例如一或更多電漿蝕刻處理。之
後,如圖2E所示,是利用一或更多灰化及/或剝除處理來移除第二微影遮罩層255。
如圖2F所示,第二硬遮罩層242中之凹槽圖案260係轉移穿透第一硬遮罩層244並至低k絕緣層230中答一預定深度來形成凹槽-介層孔結構200。在轉移凹槽圖案260到低k絕緣層230中期間,該介層孔圖案265可完全轉移穿透低k絕緣層230及/或穿透頂蓋層220以暴露側壁表面232。
在120中,如圖2G所示,含SiOCl層係沉積在凹槽-介層孔結構200之暴露的表面來形成絕緣保護層270。含SiOCl層含有Si、O、和Cl。含SiOCl層可藉由在含有Si、Cl、和O的環境中執行氣相沉積處理來形成。
在一實施例中,含SiOCl層是藉由執行電漿輔助沉積處理來形成,該電漿輔助沉積處理包括使用含有SiCl4
和O2
作為初始成分的薄膜形成處理合成物來產生電漿。雖然該薄膜形成處理合成物包括SiCl4
及O2
,但可考慮其它含Cl及含O的氣體或蒸氣。例如,該薄膜形成處理合成物可包括矽烷(SiH4
)、含Cl氣體(例如,Cl2
、HCl等)、以及含氧氣體(例如,O2
)來做為初始成分。
為了在電漿輔助沉積處理中形成電漿,薄膜形成處理合成物應選擇在大氣及/或真空壓力下單獨或在與載體氣體(例如,惰性氣體元素或氮)混合時以氣相及/或蒸氣相存在的成分。
該電漿輔助沉積處理可排除將射頻(radio frequency,RF)偏壓施加到放置基板210的基板支撐件。基板210的溫度可在約0度C到約100度C的範圍內。此外,當形成含SiOCl層時,至少一處理參數在電漿輔助沉積處理中可加以調整來增加含SiOCl層對於隨後可用來移除第二硬遮罩層242之蝕刻、灰化、及/或剝除處理的抗性。
在另一實施例中,含SiOCl層是藉由將基板210暴露在SiCl4
和H2
O之中、並加熱基板210而形成。基板210的溫度可在約30度C到約100度C的範圍內。
在130中,如圖2H所示,一或更多蝕刻處理係執行以異向性地從凹槽-介層孔結構200上的至少一表面移除至少部份的含SiOCl層。例如,含SiOCl層可從第二硬遮罩層242的頂部表面272、凹槽圖案260的底部表面274、以及介層孔圖案265的底部表面278異向性地移除,並同時保
留在凹槽-介層孔結構200的側壁表面232上的含SiOCl層之殘留部份。凹槽-介層孔結構200中的含SiOCl層之殘留部份可保護側壁232以及在介層孔圖案265的側壁232與凹槽圖案260的底部表面274交會之轉角處的倒角275。從凹槽-介層孔結構200的至少一表面移除含SiOCl層可利用一或更多蝕刻處理來執行。該一或更多蝕刻處理可包括乾式電漿蝕刻處理或乾式非電漿蝕刻處理。
在一實施例中,乾式電漿蝕刻處理包括異向性電漿蝕刻處理。異向性電漿蝕刻處理可包括從含C及F的蝕刻處理合成物形成電漿。例如,蝕刻處理合成物可包含氟碳化物(即,Cx
Fy
,其中x和y等於或大於1)。
此外,例如,該蝕刻處理合成物可包含鹵甲烷氣體。該鹵甲烷氣體可包括單取代鹵甲烷(例如,CH3
F)、雙取代鹵甲烷(例如,CH2
F2
)、三取代鹵甲烷(例如,CHF3
),或四取代鹵甲烷(例如,CF4
)。
此外,例如,該蝕刻處理合成物可包括碳氫化合物(即,Cx
Hy
,其中x和y等於或大於1)。另外,例如,該蝕刻處理合成物可包括具有化學式Cx
Hy
Rz
的添加劑氣體,其中R是鹵族元素,x和y等於或大於1,並且z等於或大於0。
此外,例如,該蝕刻處理合成物可包括惰性氣體。該蝕刻處理合成物可包括含氧氣體、含氫氣體、含氮氣體、或含碳氣體、或其二或更多者的任意組合。例如,該蝕刻處理合成物可包括H2
、O2
、N2
、CO、CO2
、NH3
、NO、N2
O、或NO2
、或其二或更多者的任意組合。該蝕刻處理合成物可更包括含氟氣體、含氯氣體、含溴氣體、或鹵化物氣體。例如,該蝕刻處理合成物可更包括HBr、F2
、Cl2
、Br2
、BCl3
、NF3
、或SF6
。
在一實施例中,該異向性電漿蝕刻處理之蝕刻處理合成物可包括惰性氣體以及選自由CF4
、C4
F6
、C4
F8
、及C5
F8
組成之群組的一或更多氣體。在另一實施例中,該異向性電漿蝕刻處理之蝕刻處理合成物可包括CF4
及Ar。
該異向性電漿蝕刻處理可包括製備蝕刻處理配方。該蝕刻處理配方可包括由一或更多處理參數所定義的一或更多處理條件。該一或更多處理條件可藉由設定一或更多處理參數來設立,例如:設定蝕刻處理合成
物中各個成分的流率;設定電漿處理系統中的壓力;設定施加在支撐及電氣偏壓基板的基板支撐件內之下電極的第一射頻(RF)信號之第一RF功率位準;設定施加在下電極、或在基板上方與下電極相對的來源天線或上電極的第二RF信號之第二RF(或微波)功率位準;設定電漿處理系統中的溫度條件;設定基板及基板支撐件的溫度條件;設定蝕刻時間;及/或設定過度蝕刻時間。在異向性電漿蝕刻處理期間,可改變處理參數的任一者。
該異向性電漿蝕刻處理可包括施加射頻(RF)偏壓於放置基板210的基板支撐件。基板210的溫度可在約0度C到約100度C的範圍內。此外,當執行異向性電漿蝕刻處理時,可調整異向性電漿蝕刻處理中至少一處理參數來控制凹槽-介層孔結構200的臨界尺寸(critical dimension,CD)、凹槽-介層孔結構200的側壁輪廓等。
在另一實施例中,可執行過度蝕刻處理。
在140中,且如圖2I所示,遮罩移除蝕刻處理係執行以移除至少部份的第二硬遮罩層242。該遮罩移除蝕刻處理可包括一或更多蝕刻處理。該一或更多蝕刻處理可包括乾式電漿蝕刻處理或乾式非電漿蝕刻處理。
在一實施例中,該乾式電漿蝕刻處理可包括從含有鹵素的蝕刻處理合成物形成電漿。例如,該蝕刻處理合成物可包括含氟氣體、含氯氣體、含溴氣體、鹵化物氣體、鹵碳化物氣體(即,Cx
Ry
,其中R是鹵族元素,並且x和y等於或大於1)、鹵烴氣體(Cx
Hy
Rz
,其中R是鹵族元素,並且x和y等於或大於1,並且z等於或大於0)、或鹵甲烷氣體(例如,例如CH3
F之單取代鹵代甲烷、或例如CH2
F2
之雙取代鹵甲烷、或例如CHF3
之三取代鹵甲烷、或例如CF4
之四取代鹵甲烷,)。此外,例如,該蝕刻處理合成物可包括HF、HCl、HBr、F2
、Cl2
、Br2
、BCl3
、NF3
、或SF6
。
此外,該乾式電漿蝕刻處理可包括從含有F的蝕刻處理合成物形成電漿。例如,該蝕刻處理合成物可含有HF、NF3
、SF6
、氟碳化物氣體(即,Cx
Fy
,其中x和y等於或大於1)、氟烴氣體(Cx
Hy
Fz
,其中x和y等於或大於1,並且z等於或大於0)、或氟甲烷氣體(舉例來說,例如CH3
F之單取代氟甲烷、或例如CH2
F2
之雙取代二氟甲烷、或例如CHF3
之三取代氟甲烷、或例如CF4
之四取代氟甲烷)。
此外,例如,該蝕刻處理合成物可包括惰性氣體。該蝕刻處理合成物可包括含氧氣體、含氫氣體、含氮氣體、或含碳氣體、或其二或更多者的任意組合。例如,該蝕刻處理合成物可包括H2
、O2
、N2
、CO、CO2
、NH3
、NO、N2
O、或NO2
、或其二或更多者的任意組合。
在一實施例中,用於遮罩移除蝕刻處理之蝕刻處理合成物可包括且選擇性包含惰性氣體。在另一實施例中,用於遮罩移除蝕刻處理之蝕刻處理合成物可包括NF3
以及Ar。在又另一實施例中,用於遮罩移除蝕刻處理之蝕刻處理合成物可由NF3
組成。
遮罩移除蝕刻處理可包括製備蝕刻處理配方。該蝕刻處理配方可包括由一或更多處理參數所定義的一或更多處理條件。該一或更多處理條件可藉由設定一或更多處理參數來設立,例如:設定蝕刻處理合成物中各成分的流率;設定電漿處理系統中的壓力;設定施加在支撐及電氣偏壓基板的基板支撐件內之下電極的第一射頻(RF)信號之第一射頻功率位準;設定施加在下電極、或在基板上方相對下電極的來源天線或上電極的第二RF信號之第二RF(或微波)功率位準;設定電漿處理系統中的溫度條件;設定基板及基板支撐件的溫度條件;設定蝕刻時間;及/或設定過度蝕刻時間。在該遮罩移除蝕刻處理期間,可改變處理參數之任一者。
如圖2J所示,在執行遮罩移除蝕刻處理之後,絕緣保護層270之殘餘部份可從凹槽-介層孔結構200的側壁表面232選擇性地移除。在一實施例中,從凹槽-介層孔結構200的側壁表面232選擇性地移除絕緣保護層270之殘餘部份可藉由執行濕式清洗處理來達成。例如,該濕式清洗處理可包括將含SiOCl材料之殘餘部分浸在HF溶液(例如稀釋水性HF溶液)中。
在一實施例中,形成含SiOCl層之沉積處理、異向性的電漿蝕刻處理、以及遮罩移除蝕刻處理係執行於同一電漿處理系統中。在一選擇性實施例中,形成含SiOCl層之沉積處理、異向性的電漿蝕刻處理、以及遮罩移除蝕刻處理係執行於不同的電漿處理系統中。
在另一實施例中,含SiOCl材料可以在在形成凹槽-介層孔結構期間的其它步驟之前及/或之後沉積。舉例來說,用來保護凹槽-介層孔結構300之介層孔圖案265中的暴露之低k表面的方法係顯示在圖3A及3B
中。如圖3A所示,在轉移介層孔圖案265之後並且在轉移凹槽圖案260到低k絕緣層230之前,可將暫時性含SiOCl層沉積在凹槽-介層孔結構300內之介層孔圖案265中的暴露之表面上,以形成介層孔絕緣保護層370。緊接在沉積該暫時性含SiOCl層之後並且在移除第二微影遮罩層255之前,可執行一或更多蝕刻處理以異向性地從凹槽-介層孔結構300上的至少一表面移除暫時性含SiOCl層之至少一部分。之後,第二微影遮罩層255可如圖3B所示地加以移除。
在又另一實施例中,含SiOCl材料可在圖案轉移處理期間的複數階段中沉積。尤其,凹槽圖案260及/或介層孔圖案265的轉移可在分別的步驟中執行,其中週期性地形成含SiOCl層以及可選的異向性移除含SiOCl層之至少一部分係插入於至少一連續之個別蝕刻步驟序列與可能重覆之複數循環(例如二或更多循環)之間,直到凹槽-介層孔結構之側壁表面232充分受到保護。
舉例來說,敘述用來保護凹槽-介層孔結構400的凹槽圖案260之暴露的低k表面的方法。該方法是以圖示於圖4A到4C中。如圖4A所示,凹槽圖案260是利用一或更多蝕刻處理從第二硬遮罩層242局部轉移通過第一硬遮罩層到低k絕緣層230中,以至少形成凹槽-介層孔結構400的初始階段。轉移凹槽圖案260到低k絕緣層230的初始階段可執行至低於針對凹槽-介層孔結構400所定義的預定深度之第一凹槽深度。在第一凹槽深度,將中間含SiOCl層沉積在凹槽-介層孔結構400之暴露表面以形成第一絕緣保護層470A。
之後,如圖4B所示,使用一或更多額外的蝕刻處理使凹槽圖案260更形成深入低k絕緣層230之中。轉移凹槽圖案260到低k絕緣層230的後續階段可執行至等於或小於針對凹槽-介層孔結構400所定義之預定深度的第二凹槽深度。如圖4B所示,在該一或更多額外的蝕刻處理期間,第一絕緣保護層470A可從第二硬遮罩層442至少局部地移除,並且可沿著低k絕緣層230中的凹槽-介層孔結構400之側壁232變薄,以留下殘留絕緣保護層470B。形成中之凹槽-介層孔結構400之側壁上的殘留絕緣保護層470B的存在可減少低k絕緣層230與一或更多額外的蝕刻處理之蝕刻化學(例如電漿化學)的反應。
之後,如圖4C所示,另一含SiOCl層可沉積在凹槽-介層孔結構400之暴露的表面以形成第二絕緣保護層470C。該步驟序列(亦即圖4A到4C中所描述的在低k絕緣層230中形成至並穿透凹槽-介層孔結構400期間執行的蝕刻-沉積-蝕刻-沉積(等)之處理方式)可保護形成中之凹槽-介層孔結構400之側壁,並因而限制低k絕緣層230及蝕刻化學間的交互作用。該受限的交互作用可減少對低k絕緣層230的損傷。雖然描述於凹槽圖案化的上下文中,但該蝕刻-沉積序列也可在介層孔圖案化期間執行。
根據以上所描述之各種實施例的用來執行形成含SiOCl層的沉積處理、異向性電漿蝕刻處理、以及遮罩移除蝕刻處理之任一者的一或更多方法可執行於圖5到11所示且如以下所述的電漿處理系統之任一者。
根據一實施例,配置成執行以上所述之處理條件的電漿處理系統500係顯示在圖5之中,包含電漿處理腔室510、其上固定待處理之基板525的基板支撐件520、以及真空泵系統550。基板525可為半導體基板、晶圓、平板顯示器、或液晶顯示器。電漿處理腔室510可配置成幫助在電漿處理區545中、基板525的表面附近產生電漿。可離子化氣體或處理氣體的混合物係經由氣體分配系統540引入。針對一特定的處理氣體流,處理壓力係使用真空泵系統550加以調整。電漿可用來製造針對預定材料處理的材料、及/或協助從基板525之暴露的表面移除材料。該電漿處理系統500可配置成處理任何所需大小的基板,例如200mm基板、300mm基板、或更大的。
基板525可經由夾持系統528固定在基板支撐件520上,例如機械夾持系統或電力夾持系統(例如,靜電夾持系統)。此外,基板支撐件520可包括配置成調整及/或控制基板支撐件520及基板525之溫度的加熱系統(未顯示)或冷卻系統(未顯示)。該加熱系統或冷卻系統可包含熱傳液體之再循環流動,其在冷卻時從基板支撐件520接收熱量並將熱量傳到熱交換系統(未顯示),或在加熱時將熱量從熱交換系統傳到基板支撐件520。在其它實施例中,例如電阻加熱元件、或熱-電加熱器/冷卻器的加熱/冷卻元件可包括在基板支撐件520、以及電漿處理腔室510之腔室壁及電漿處理系統500內的任何其它元件之中。
此外,熱傳氣體可經由背面氣體供應系統526送到基板525的背面,來改善基板525及基板支撐件520間的氣體-間隙熱傳導性。這樣的系統可用於需要在升高或降低的溫度下控制基板的溫度時。例如,該背面氣體供應系統可包含兩區氣體分配系統,其中氦氣體-間隙壓力可在基板525的中央及邊緣之間獨立地改變。
在圖5所示之實施例中,基板支撐件520可包含電極522,RF電力可經由該電極522耦合到電漿處理區545的處理電漿。例如,基板支撐件520可經由從RF產生器530通過可選的阻抗匹配網路532傳輸RF電力至基板支撐件520而在一RF電壓下加以電性偏壓。該射頻電性偏壓可用來加熱電子以形成和維持電漿。在此配置中,該系統可作用為活性離子蝕刻(reactive ion etch,RIE)反應器,其中腔室以及上氣體注入電極作為接地面。典型的RF偏壓頻率可在大約0.1MHz到100MHz的範圍內。用於電漿處理的RF系統是熟悉本領域者所熟知。
此外,電極522在一RF電壓下的電性偏壓可使用脈衝偏壓信號控制器531使之產生脈衝。例如,來自射頻產生器530的射頻電力輸出可在關閉狀態和開啟狀態之間產生脈衝。
或者,RF電力可在複數頻率下施加於基板支撐件電極。此外,阻抗匹配網路532可藉由減少被反射的電力來改善RF電力對電漿處理腔室510中之電漿的傳輸。匹配網絡拓撲結構(例如,L-型、π-型、T-型等)和自動控制方法是熟悉本領域者所熟知。
氣體分配系統540可以包含用來引入處理氣體混合物的噴淋頭設計。另外,氣體分配系統540可包含多區域噴淋頭設計來引入處理氣體混合物以及調整處理氣體混合物在基板525上的分佈。例如,該多區域噴淋頭設計可配置成相對於基板525上實質上中心區域之處理氣體之流動量或組成調整基板525上實質上外緣區域的處理氣體之流動量或組成。
真空泵系統550可包括具有高達每秒5000升(及更大)之泵抽速度的渦輪分子真空泵(turbo-molecular vacuum pump,TMP)、以及用於節流腔室壓力的閘閥。在習知的用於乾式電漿蝕刻之電漿處理裝置中可使用每秒1000至3000升的TMP。TMP對於典型小於約50毫托(milli torr,mTorr)的低壓處理具有效用。對於高壓處理(亦即大於約100 mTorr)而言,
可使用機械增壓泵和乾式粗抽泵。此外,可將用來監測腔室壓力的裝置(未顯示)耦合到電漿處理腔室510。
控制器555包括微處理器、記憶體、和數位I/O埠,該數位I/O埠能夠產生足以對電漿處理系統500通訊和啟動輸入、並監測來自電漿處理系統500之輸出的控制電壓。此外,控制器555可與RF產生器530、脈衝偏壓信號控制器531、阻抗匹配網路532、氣體分配系統540、真空泵系統550、以及基板加熱/冷卻系統(未顯示)、背面氣體供給系統526、及/或靜電夾持系統528耦合並交換資訊。例如,儲存於記憶體中的程式可用來根據處理配方啟動對前述電漿處理系統500之元件的輸入,以在基板525上執行電漿輔助處理,例如電漿蝕刻處理。
控制器555可相對電漿處理系統500而本地設置,或者相對電漿處理系統500而遠端設置。例如,控制器555可使用直接連接、內部網路、及/或網際網路與電漿處理系統500交換數據。控制器555可耦接至例如顧客(亦即,裝置製造商等)位置的內部網路,或者其可耦接至例如供應商位置(亦即,設備製造商)的內部網路。另外或額外地,控制器555可耦接到網際網路。此外,另一電腦(例如,控制器、伺服器)可以透過直接連接、內部網路、及/或網際網路存取控制器555以交換數據。
在圖6所示的實施例中,除了參照圖5所描述的那些組件之外,電漿處理系統600可類似圖5的實施例,並且更包括固定的、或機械的、或電氣的旋轉磁場系統660,以潛在地增加電漿密度及/或改善電漿處理均勻性。此外,控制器555可耦合到磁場系統660以調節旋轉速度和場強度。旋轉磁場的設計和實施是熟悉本領域者所熟知。
在圖7所示的實施例中,電漿處理系統700可類似圖5或圖6中的實施例,並且可更包括上部電極770,RF電力可從RF產生器772經由可選的阻抗匹配網路774耦合到該上部電極770。施加到上部電極的RF電力頻率可在大約0.1MHz到大約200MHz的範圍內。此外,施加到下部電極的RF電力頻率可在大約0.1MHz到大約100MHz的範圍內。並且,控制器555係耦合到RF產生器772以及阻抗匹配網路774以控制對上部電極770的RF電力之施加。上部電極的設計和實施是熟悉本領域者所熟知。上部電極770和氣體分配系統540可如所示設計在相同的腔室組件內。另外,上
部電極770可包含用以調整耦合到基板525上的電漿之RF電力分佈的多區域電極設計。例如,可將上部電極770分隔為中心電極以及邊緣電極。
在圖8所示的實施例中,電漿處理系統800可類似圖7的實施例,並且更包括耦合到與基板525相對的上部電極770的直流(direct current,DC)電源890。上部電極770可包括電極板。該電極板可包括含矽電極板。此外,該電極板可包括摻雜矽電極板。DC電源890可包括可變直流電源。此外,DC電源890可包括雙極DC電源。DC電源890可更包括配置成執行監測、調節,或控制DC電源890的極性、電流、電壓、或開/關狀態的至少一者的系統。一旦形成電漿,DC電源890協助彈道電子束的形成。電濾波器(未顯示)可用來自DC電源890解耦合RF電力。
例如,由DC電源890施加到上部電極770的DC電壓可在大約-2000V(伏特)到大約1000V的範圍內。較佳地,直流電壓的絕對值具有等於或大於約100V之數值,且更佳地,直流電壓的絕對值具有等於或大於約500V之數值。此外,DC電壓較佳地具有負極性。此外,DC電壓較佳地為具有大於產生在上部電極770之表面上的自偏壓電壓之絕對值的負電壓。上部電極770面對基板支撐件520的表面可由含矽材料組成。
在圖9所示的實施例中,電漿處理系統900可類似圖5及6的實施例,並且可更包括感應線圈980,RF電源是經由RF產生器982並透過可選的阻抗匹配網路984耦合到該感應線圈。RF電力係從感應線圈980經過介電窗(未顯示)感應耦合到電漿處理區545。施加到感應線圈980的RF電力頻率可在大約10 MHz到大約100MHz的範圍內。同樣地,施加到夾盤電極的電力頻率可在大約0.1MHz到大約100MHz的範圍內。此外,開槽法拉第屏蔽(Faraday shield)(未顯示)可用來減少感應線圈980以及電漿處理區545中的電漿之間的電容耦合。此外,控制器555可耦合到RF產生器982以及阻抗匹配網路984,以控制對感應線圈980之電力施加。
在另一實施例中,如圖10所示,電漿處理系統1000可類似圖9的實施例,並且可更包括感應線圈1080,其為如同在變壓器耦合電漿(transformer coupled plasma,TCP)反應器中般自上方與電漿處理區545連通的「螺旋形」線圈或「盤餅形」線圈。感應耦合電漿(inductively coupled plasma,
ICP)源或變壓器耦合電漿(transformer coupled plasma,TCP)源的設計和實施是熟悉本領域者所熟知。
此外,可使用電子迴旋共振(electron cyclotron resonance,ECR)來形成電漿。在又另一實施例中,電漿是由發射一大喇叭波(Helicon wave)而形成。在又另一實施例中,電漿是由一傳播表面波而形成。上述每一電漿源都是熟悉本領域者所熟知。
在圖11所示的實施例中,電漿處理系統1100可類似圖5的實施例,並且可更包括表面波電漿(surface wave plasma,SWP)源1130。SWF源1130可包含槽孔天線,例如輻射線槽孔天線(radial line slot antenna,RLSA),微波電力是經由功率耦合系統1190耦合到該槽孔天線。
雖然以上僅詳述本發明的部份實施例,但熟悉本領域者將容易瞭解,在實質上不脫離本發明的新穎教示和優點的情況下,許多修改均有可能。因此,欲使所有如此修改皆包括在本發明的範圍之內。
100‧‧‧流程圖
110‧‧‧步驟
120‧‧‧步驟
130‧‧‧步驟
140‧‧‧步驟
Claims (20)
- 一種用於移除硬遮罩的方法,包含:利用一或更多蝕刻處理以及覆蓋於一低k絕緣層上的一硬遮罩層,在一基板上的該低k絕緣層中形成一凹槽-介層孔結構之至少一部分;在該凹槽-介層孔結構的暴露表面上沉積一含SiOCl層,以形成一絕緣保護層;執行一或更多蝕刻處理,以從該凹槽-介層孔結構上的至少一表面異向性地移除該含SiOCl層之至少一部分;以及利用一遮罩移除蝕刻處理移除該硬遮罩層。
- 如申請專利範圍第1項的用於移除硬遮罩的方法,其中該沉積該含SiOCl層包括在含Si、Cl、以及O的環境中執行一氣相沉積處理。
- 如申請專利範圍第1項的用於移除硬遮罩的方法,其中該沉積該含SiOCl層包括執行一電漿輔助沉積處理,該電漿輔助沉積處理包括使用含有SiCl4 及O2 作為初始成分之處理合成物來產生電漿。
- 如申請專利範圍第3項的用於移除硬遮罩的方法,其中該電漿輔助沉積處理排除施加射頻(RF)偏壓到一基板支撐件,該基板係置於該基板支撐件上。
- 如申請專利範圍第3項的用於移除硬遮罩的方法,其中該基板的溫度在約0度C到約100度C的範圍內。
- 如申請專利範圍第3項的用於移除硬遮罩的方法,其中該沉積該含SiOCl層包括調整該電漿輔助沉積處理中之至少一處理參數,以提高該含SiOCl層對該遮罩移除蝕刻處理的抗性。
- 如申請專利範圍第1項的用於移除硬遮罩的方法,其中該沉積該含SiOCl層包括將該基板暴露於SiCl4 及H2 O,並加熱該基板。
- 如申請專利範圍第1項的用於移除硬遮罩的方法,其中該執行一或更多蝕刻處理以異向性地移除該含SiOCl層之至少一部分包括使用一電漿蝕刻處理,該電漿蝕刻處理包括利用含有Cx Fy 氣體及惰性氣體作為初始成分之一蝕刻處理合成物來產生電漿。
- 如申請專利範圍第8項的用於移除硬遮罩的方法,其中該蝕刻處理包括施加一RF偏壓到一基板支撐件,該基板係置於該基板支撐件上。
- 如申請專利範圍第1項的用於移除硬遮罩的方法,其中該硬遮罩層包含一金屬硬遮罩層。
- 如申請專利範圍第1項的用於移除硬遮罩的方法,其中該硬遮罩層包含TiN。
- 如申請專利範圍第1項的用於移除硬遮罩的方法,其中該遮罩移除蝕刻處理包括一電漿蝕刻處理,該電漿蝕刻處理包括使用含F的一蝕刻處理合成物來產生電漿。
- 如申請專利範圍第1項的用於移除硬遮罩的方法,更包括:選擇性地從該凹槽-介層孔結構移除該絕緣保護層的任何殘餘部分。
- 如申請專利範圍第13項的用於移除硬遮罩的方法,其中該選擇性地從該凹槽-介層孔結構移除該絕緣保護層的該殘餘部分包括執行一溼式清洗處理。
- 如申請專利範圍第13項的用於移除硬遮罩的方法,其中該選擇性地從該凹槽-介層孔結構移除該絕緣保護層的該殘餘部分包括將該絕緣保護層的該殘餘部分浸在稀釋的HF水溶液中。
- 如申請專利範圍第1項的用於移除硬遮罩的方法,其中該在該基板上的該低k絕緣層中形成該凹槽-介層孔結構之至少一部分包括:接收其上形成有該低k絕緣層、覆蓋該低k絕緣層之一第一硬遮罩層、覆蓋該第一硬遮罩層之第二硬遮罩層的該基板;在該第二硬遮罩層上製備其中形成有一凹槽圖案的一第一微影遮罩層;將該凹槽圖案轉移到該第二硬遮罩層並停止在該第一硬遮罩層上;移除該第一微影遮罩層;在該第二硬遮罩層上製備其中形成有一介層孔圖案的一第二微影遮罩層;將該介層孔圖案轉移通過該第一硬遮罩層並且至少部份穿透該低k絕緣層;移除該第二微影遮罩層;以及將該第二硬遮罩層中的該凹槽圖案轉移通過該第一硬遮罩層並且進入該低k絕緣層中達一預定深度以形成一凹槽-介層孔結構。
- 如申請專利範圍第16項的用於移除硬遮罩的方法,其中該第二硬遮罩層含有金屬。
- 如申請專利範圍第16項的用於移除硬遮罩的方法,更包括:在轉移該介層孔圖案以及轉移該凹槽圖案到該第二硬遮罩層中之後,在該凹槽-介層孔結構中的該介層孔圖案之暴露的表面上沉積一暫時性含SiOCl層,以形成一介層孔絕緣保護層。
- 如申請專利範圍第18項的用於移除硬遮罩的方法,更包括:緊接該沉積該暫時性含SiOCl層之後並且在該移除該第二微影遮罩層之前,執行一或更多蝕刻處理以異向性地從該凹槽-介層孔結構上之至少一表面移除該暫時性含SiOCl層之至少一部分。
- 如申請專利範圍第16項的用於移除硬遮罩的方法,其中該轉移該凹槽 圖案包括:轉移該第二硬遮罩層中之該凹槽圖案通過該第一硬遮罩層並且進入該低k絕緣層達小於該預定深度的一第一凹槽深度;在該凹槽-介層孔結構之暴露的表面上沉積一暫時性含SiOCl層以形成一第一絕緣保護層;以及進一步轉移該凹槽圖案進入該低k絕緣層達等於或小於該預定深度的一第二凹槽深度。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/414,015 US8551877B2 (en) | 2012-03-07 | 2012-03-07 | Sidewall and chamfer protection during hard mask removal for interconnect patterning |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201405662A TW201405662A (zh) | 2014-02-01 |
TWI495010B true TWI495010B (zh) | 2015-08-01 |
Family
ID=49114475
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW102108087A TWI495010B (zh) | 2012-03-07 | 2013-03-07 | 用於互連圖案化之硬遮罩移除時之側壁及倒角保護 |
Country Status (3)
Country | Link |
---|---|
US (1) | US8551877B2 (zh) |
KR (1) | KR101896724B1 (zh) |
TW (1) | TWI495010B (zh) |
Families Citing this family (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP2717296B1 (en) * | 2012-10-02 | 2016-08-31 | Imec | Etching of block-copolymers |
US8809185B1 (en) * | 2013-07-29 | 2014-08-19 | Tokyo Electron Limited | Dry etching method for metallization pattern profiling |
WO2015047255A1 (en) | 2013-09-25 | 2015-04-02 | Intel Corporation | Sacrificial material for stripping masking layers |
US9613852B2 (en) * | 2014-03-21 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method making the same |
US9646854B2 (en) * | 2014-03-28 | 2017-05-09 | Intel Corporation | Embedded circuit patterning feature selective electroless copper plating |
US9257298B2 (en) * | 2014-03-28 | 2016-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Systems and methods for in situ maintenance of a thin hardmask during an etch process |
TWI598958B (zh) * | 2014-08-05 | 2017-09-11 | 東京威力科創股份有限公司 | 微電子基板上之乾硬式遮罩的移除方法 |
US9252051B1 (en) | 2014-11-13 | 2016-02-02 | International Business Machines Corporation | Method for top oxide rounding with protection of patterned features |
KR102271239B1 (ko) | 2015-03-23 | 2021-06-29 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US9373543B1 (en) * | 2015-10-06 | 2016-06-21 | Globalfoundries Inc. | Forming interconnect features with reduced sidewall tapering |
US9837394B2 (en) * | 2015-12-02 | 2017-12-05 | International Business Machines Corporation | Self-aligned three dimensional chip stack and method for making the same |
US10340177B2 (en) * | 2016-02-19 | 2019-07-02 | Globalfoundries Inc. | Devices and methods of reducing damage during BEOL M1 integration |
US10002773B2 (en) | 2016-10-11 | 2018-06-19 | Lam Research Corporation | Method for selectively etching silicon oxide with respect to an organic mask |
CN108231659B (zh) * | 2016-12-15 | 2020-07-07 | 中芯国际集成电路制造(北京)有限公司 | 互连结构及其制造方法 |
US10068815B2 (en) | 2016-12-22 | 2018-09-04 | Globalfoundries Inc. | Test structure for testing via resistance and method |
WO2019113482A1 (en) * | 2017-12-08 | 2019-06-13 | Tokyo Electron Limited | High aspect ratio via etch using atomic layer deposition protection layer |
US10964587B2 (en) * | 2018-05-21 | 2021-03-30 | Tokyo Electron Limited | Atomic layer deposition for low-K trench protection during etch |
TWI784183B (zh) * | 2018-07-11 | 2022-11-21 | 日商東京威力科創股份有限公司 | 用於貫孔輪廓控制及相關應用的原子層沉積(ald)襯墊 |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5304510A (en) * | 1990-02-14 | 1994-04-19 | Kabushiki Kaisha Toshiba | Method of manufacturing a multilayered metallization structure in which the conductive layer and insulating layer are selectively deposited |
US5618379A (en) * | 1991-04-01 | 1997-04-08 | International Business Machines Corporation | Selective deposition process |
US6838392B1 (en) * | 2002-03-15 | 2005-01-04 | Cypress Semiconductor Corporation | Methods of forming semiconductor structures, and articles and devices formed thereby |
US7265015B2 (en) * | 2005-06-30 | 2007-09-04 | Promos Technologies Inc. | Use of chlorine to fabricate trench dielectric in integrated circuits |
US7892968B2 (en) * | 2008-01-21 | 2011-02-22 | International Business Machines Corporation | Via gouging methods and related semiconductor structure |
US7955979B2 (en) * | 2000-05-15 | 2011-06-07 | Asm International N.V. | Method of growing electrical conductors |
US8030779B2 (en) * | 2005-12-14 | 2011-10-04 | Dongbu Hitek Co., Ltd. | Multi-layered metal interconnection |
Family Cites Families (50)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5552344A (en) * | 1995-11-16 | 1996-09-03 | Taiwan Semiconductor Manufacturing Company | Non-etchback self-aligned via size reduction method employing ozone assisted chemical vapor deposited silicon oxide |
US5661093A (en) * | 1996-09-12 | 1997-08-26 | Applied Materials, Inc. | Method for the stabilization of halogen-doped films through the use of multiple sealing layers |
JP2002510878A (ja) * | 1998-04-02 | 2002-04-09 | アプライド マテリアルズ インコーポレイテッド | 低k誘電体をエッチングする方法 |
US6271153B1 (en) * | 1998-07-22 | 2001-08-07 | Micron Technology, Inc. | Semiconductor processing method and trench isolation method |
US6149779A (en) * | 1998-11-03 | 2000-11-21 | Novellus Systems, Inc. | Low-k BSG gap fill process using HDP |
US6207544B1 (en) | 1998-12-09 | 2001-03-27 | Advanced Micro Devices, Inc. | Method of fabricating ultra thin nitride spacers and device incorporating same |
JP2001168092A (ja) * | 1999-01-08 | 2001-06-22 | Toshiba Corp | 半導体装置およびその製造方法 |
US6242334B1 (en) | 1999-03-23 | 2001-06-05 | United Microelectronics Corp. | Multi-step spacer formation of semiconductor devices |
US6582891B1 (en) | 1999-12-02 | 2003-06-24 | Axcelis Technologies, Inc. | Process for reducing edge roughness in patterned photoresist |
US6150234A (en) * | 1999-12-16 | 2000-11-21 | Vlsi Technology, Inc. | Trench-diffusion corner rounding in a shallow-trench (STI) process |
US6277700B1 (en) | 2000-01-11 | 2001-08-21 | Chartered Semiconductor Manufacturing Ltd. | High selective nitride spacer etch with high ratio of spacer width to deposited nitride thickness |
US6284657B1 (en) * | 2000-02-25 | 2001-09-04 | Chartered Semiconductor Manufacturing Ltd. | Non-metallic barrier formation for copper damascene type interconnects |
US6261913B1 (en) | 2000-08-23 | 2001-07-17 | Micron Technology, Inc. | Method for using thin spacers and oxidation in gate oxides |
US6475929B1 (en) * | 2001-02-01 | 2002-11-05 | Advanced Micro Devices, Inc. | Method of manufacturing a semiconductor structure with treatment to sacrificial stop layer producing diffusion to an adjacent low-k dielectric layer lowering the constant |
US6756313B2 (en) | 2002-05-02 | 2004-06-29 | Jinhan Choi | Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber |
JP2004119950A (ja) * | 2002-09-30 | 2004-04-15 | Sony Corp | 半導体装置の製造方法 |
US7005390B2 (en) * | 2002-10-09 | 2006-02-28 | Intel Corporation | Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials |
US7531679B2 (en) * | 2002-11-14 | 2009-05-12 | Advanced Technology Materials, Inc. | Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride |
US6731006B1 (en) * | 2002-12-20 | 2004-05-04 | Advanced Micro Devices, Inc. | Doped copper interconnects using laser thermal annealing |
US6767825B1 (en) * | 2003-02-03 | 2004-07-27 | United Microelectronics Corporation | Etching process for forming damascene structure of the semiconductor |
US6838396B2 (en) | 2003-03-28 | 2005-01-04 | International Business Machines Corporation | Bilayer ultra-thin gate dielectric and process for semiconductor metal contamination reduction |
US6972253B2 (en) * | 2003-09-09 | 2005-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming dielectric barrier layer in damascene structure |
US7553769B2 (en) | 2003-10-10 | 2009-06-30 | Tokyo Electron Limited | Method for treating a dielectric film |
DE10355575B4 (de) | 2003-11-28 | 2010-01-07 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität |
US7071117B2 (en) | 2004-02-27 | 2006-07-04 | Micron Technology, Inc. | Semiconductor devices and methods for depositing a dielectric film |
US7078350B2 (en) * | 2004-03-19 | 2006-07-18 | Lam Research Corporation | Methods for the optimization of substrate etching in a plasma processing system |
US20060024953A1 (en) * | 2004-07-29 | 2006-02-02 | Papa Rao Satyavolu S | Dual damascene diffusion barrier/liner process with selective via-to-trench-bottom recess |
US20060024954A1 (en) * | 2004-08-02 | 2006-02-02 | Zhen-Cheng Wu | Copper damascene barrier and capping layer |
US7282802B2 (en) * | 2004-10-14 | 2007-10-16 | International Business Machines Corporation | Modified via bottom structure for reliability enhancement |
US20060115981A1 (en) | 2004-12-01 | 2006-06-01 | Jyu-Horng Shieh | Forming a dual damascene structure without ashing-damaged ultra-low-k intermetal dielectric |
US7256121B2 (en) * | 2004-12-02 | 2007-08-14 | Texas Instruments Incorporated | Contact resistance reduction by new barrier stack process |
US7285853B2 (en) * | 2005-02-17 | 2007-10-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same |
US7393788B2 (en) | 2006-02-10 | 2008-07-01 | Cook Julie A | Method and system for selectively etching a dielectric material relative to silicon |
US7528066B2 (en) * | 2006-03-01 | 2009-05-05 | International Business Machines Corporation | Structure and method for metal integration |
US7828987B2 (en) * | 2006-03-20 | 2010-11-09 | Applied Materials, Inc. | Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits |
US7795148B2 (en) | 2006-03-28 | 2010-09-14 | Tokyo Electron Limited | Method for removing damaged dielectric material |
US7723237B2 (en) | 2006-12-15 | 2010-05-25 | Tokyo Electron Limited | Method for selective removal of damaged multi-stack bilayer films |
JP4818140B2 (ja) * | 2007-01-31 | 2011-11-16 | 東京エレクトロン株式会社 | 基板の処理方法及び基板処理装置 |
JP4437301B2 (ja) * | 2007-02-28 | 2010-03-24 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
JP4389962B2 (ja) * | 2007-04-26 | 2009-12-24 | ソニー株式会社 | 半導体装置、電子機器、および半導体装置の製造方法 |
KR20150018592A (ko) * | 2007-05-03 | 2015-02-23 | 램 리써치 코포레이션 | 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어 |
JP2011511881A (ja) * | 2007-06-28 | 2011-04-14 | アドバンスド テクノロジー マテリアルズ,インコーポレイテッド | 二酸化ケイ素ギャップ充填材のための前駆体 |
US7741224B2 (en) | 2007-07-11 | 2010-06-22 | Texas Instruments Incorporated | Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics |
JP5119832B2 (ja) * | 2007-09-27 | 2013-01-16 | 富士通株式会社 | 界面ラフネス緩和膜、配線層、半導体装置および半導体装置の製造方法 |
US8354347B2 (en) | 2007-12-11 | 2013-01-15 | Globalfoundries Singapore Pte. Ltd. | Method of forming high-k dielectric stop layer for contact hole opening |
DE102008016424B4 (de) * | 2008-03-31 | 2011-06-01 | Amd Fab 36 Limited Liability Company & Co. Kg | Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε |
US20100062592A1 (en) | 2008-09-09 | 2010-03-11 | Tokyo Electron Limited | Method for forming gate spacers for semiconductor devices |
US8236700B2 (en) * | 2009-08-17 | 2012-08-07 | Tokyo Electron Limited | Method for patterning an ARC layer using SF6 and a hydrocarbon gas |
KR20120092184A (ko) | 2009-12-07 | 2012-08-20 | 어플라이드 머티어리얼스, 인코포레이티드 | 도핑된 영역을 세정하고 도핑된 영역 위에 음으로 대전된 패시베이션 층을 형성하는 방법 |
US20120098088A1 (en) * | 2010-10-21 | 2012-04-26 | Nanya Technology Corp. | Method of forming isolation structure and semiconductor device with the isolation structure |
-
2012
- 2012-03-07 US US13/414,015 patent/US8551877B2/en not_active Expired - Fee Related
-
2013
- 2013-03-07 TW TW102108087A patent/TWI495010B/zh not_active IP Right Cessation
- 2013-03-07 KR KR1020130024377A patent/KR101896724B1/ko active IP Right Grant
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5304510A (en) * | 1990-02-14 | 1994-04-19 | Kabushiki Kaisha Toshiba | Method of manufacturing a multilayered metallization structure in which the conductive layer and insulating layer are selectively deposited |
US5618379A (en) * | 1991-04-01 | 1997-04-08 | International Business Machines Corporation | Selective deposition process |
US7955979B2 (en) * | 2000-05-15 | 2011-06-07 | Asm International N.V. | Method of growing electrical conductors |
US6838392B1 (en) * | 2002-03-15 | 2005-01-04 | Cypress Semiconductor Corporation | Methods of forming semiconductor structures, and articles and devices formed thereby |
US7265015B2 (en) * | 2005-06-30 | 2007-09-04 | Promos Technologies Inc. | Use of chlorine to fabricate trench dielectric in integrated circuits |
US8030779B2 (en) * | 2005-12-14 | 2011-10-04 | Dongbu Hitek Co., Ltd. | Multi-layered metal interconnection |
US7892968B2 (en) * | 2008-01-21 | 2011-02-22 | International Business Machines Corporation | Via gouging methods and related semiconductor structure |
Also Published As
Publication number | Publication date |
---|---|
US20130236989A1 (en) | 2013-09-12 |
TW201405662A (zh) | 2014-02-01 |
KR20130102505A (ko) | 2013-09-17 |
KR101896724B1 (ko) | 2018-09-07 |
US8551877B2 (en) | 2013-10-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI495010B (zh) | 用於互連圖案化之硬遮罩移除時之側壁及倒角保護 | |
TWI524423B (zh) | 蝕刻及灰化期間低k材料之側壁保護 | |
JP6334631B2 (ja) | 金属インターコネクトのために絶縁積層体を選択的にエッチングする方法 | |
KR101889107B1 (ko) | 저 유전상수 손상을 감소시키도록 노출된 저 유전상수 표면에 SiOCl-함유 층을 형성하는 방법 | |
US7132369B2 (en) | Method of forming a low-K dual damascene interconnect structure | |
KR101644732B1 (ko) | Finfet 방식용 게이트 스페이서 프로파일, 핀 손실 및 하드 마스크 손실 개선을 위한 종횡비 종속 성막 | |
US6991739B2 (en) | Method of photoresist removal in the presence of a dielectric layer having a low k-value | |
JP2002525840A (ja) | 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス | |
WO2002073674A1 (fr) | Procede permettant de graver un film isolant organique et procede double damascene | |
US7572734B2 (en) | Etch depth control for dual damascene fabrication process | |
KR100917291B1 (ko) | 듀얼 다마신 분야에서 바닥부 무반사 코팅층의 2단계 에칭 | |
US7622390B2 (en) | Method for treating a dielectric film to reduce damage | |
JP2007508697A (ja) | 一酸化二窒素を使用したエッチバック方法 | |
JP2005005697A (ja) | 半導体装置の製造方法 | |
US9252051B1 (en) | Method for top oxide rounding with protection of patterned features | |
TW202314851A (zh) | 循環式電漿處理 | |
TW202137285A (zh) | 用以抑制對低k層損傷之通孔形成用的分割式灰化製程 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |