KR101889107B1 - 저 유전상수 손상을 감소시키도록 노출된 저 유전상수 표면에 SiOCl-함유 층을 형성하는 방법 - Google Patents
저 유전상수 손상을 감소시키도록 노출된 저 유전상수 표면에 SiOCl-함유 층을 형성하는 방법 Download PDFInfo
- Publication number
- KR101889107B1 KR101889107B1 KR1020130024376A KR20130024376A KR101889107B1 KR 101889107 B1 KR101889107 B1 KR 101889107B1 KR 1020130024376 A KR1020130024376 A KR 1020130024376A KR 20130024376 A KR20130024376 A KR 20130024376A KR 101889107 B1 KR101889107 B1 KR 101889107B1
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- low
- siocl
- substrate
- dielectric
- Prior art date
Links
- 230000015572 biosynthetic process Effects 0.000 title description 4
- 238000000034 method Methods 0.000 claims abstract description 158
- 230000008569 process Effects 0.000 claims abstract description 109
- 239000000758 substrate Substances 0.000 claims abstract description 86
- 229910020163 SiOCl Inorganic materials 0.000 claims abstract description 39
- 238000004380 ashing Methods 0.000 claims abstract description 20
- 238000005530 etching Methods 0.000 claims abstract description 17
- 239000000463 material Substances 0.000 claims description 26
- 239000000203 mixture Substances 0.000 claims description 26
- 229910052760 oxygen Inorganic materials 0.000 claims description 24
- 238000005137 deposition process Methods 0.000 claims description 14
- 238000001020 plasma etching Methods 0.000 claims description 14
- 229910052710 silicon Inorganic materials 0.000 claims description 10
- 238000010438 heat treatment Methods 0.000 claims description 8
- 239000002184 metal Substances 0.000 claims description 8
- 229910052751 metal Inorganic materials 0.000 claims description 8
- 239000006117 anti-reflective coating Substances 0.000 claims description 6
- 229910003902 SiCl 4 Inorganic materials 0.000 claims description 5
- 229910052757 nitrogen Inorganic materials 0.000 claims description 5
- 229910052801 chlorine Inorganic materials 0.000 claims description 4
- 229910052739 hydrogen Inorganic materials 0.000 claims description 3
- 230000005855 radiation Effects 0.000 claims description 3
- 238000004140 cleaning Methods 0.000 claims description 2
- 238000001459 lithography Methods 0.000 abstract description 3
- 238000012545 processing Methods 0.000 description 41
- 239000007789 gas Substances 0.000 description 40
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 15
- 239000001301 oxygen Substances 0.000 description 15
- 238000009826 distribution Methods 0.000 description 8
- 238000000059 patterning Methods 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- 238000013461 design Methods 0.000 description 7
- 239000004065 semiconductor Substances 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- 239000000460 chlorine Substances 0.000 description 6
- 239000011248 coating agent Substances 0.000 description 6
- 238000000576 coating method Methods 0.000 description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 238000007796 conventional method Methods 0.000 description 5
- 238000001816 cooling Methods 0.000 description 5
- 239000010408 film Substances 0.000 description 5
- 229920002120 photoresistant polymer Polymers 0.000 description 5
- 238000005086 pumping Methods 0.000 description 5
- 229910052799 carbon Inorganic materials 0.000 description 4
- 230000001939 inductive effect Effects 0.000 description 4
- 238000012546 transfer Methods 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 238000009616 inductively coupled plasma Methods 0.000 description 3
- 230000003993 interaction Effects 0.000 description 3
- 239000011368 organic material Substances 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- -1 C x H y Chemical class 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- 239000012071 phase Substances 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 239000011347 resin Substances 0.000 description 2
- 229920005989 resin Polymers 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 239000004925 Acrylic resin Substances 0.000 description 1
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 239000004734 Polyphenylene sulfide Substances 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000017858 demethylation Effects 0.000 description 1
- 238000010520 demethylation reaction Methods 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000003822 epoxy resin Substances 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- 150000002367 halogens Chemical group 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 229910010272 inorganic material Inorganic materials 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 235000012771 pancakes Nutrition 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 239000005011 phenolic resin Substances 0.000 description 1
- 229920006122 polyamide resin Polymers 0.000 description 1
- 229920000647 polyepoxide Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 239000009719 polyimide resin Substances 0.000 description 1
- 229920001955 polyphenylene ether Polymers 0.000 description 1
- 229920000069 polyphenylene sulfide Polymers 0.000 description 1
- 239000003361 porogen Substances 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 230000003134 recirculating effect Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
- 229920006337 unsaturated polyester resin Polymers 0.000 description 1
- 238000005019 vapor deposition process Methods 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 238000005200 wet scrubbing Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/1052—Formation of thin functional dielectric layers
- H01L2221/1057—Formation of thin functional dielectric layers in via holes or trenches
- H01L2221/1063—Sacrificial or temporary thin dielectric films in openings in a dielectric
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
본원에서는 노출된 저 유전상수 표면을 보호하는 방법을 성명한다. 이 방법은, 마스크 층과 저 유전상수 층이 형성된 기판으로서, 리소그래피 공정을 사용하여 상기 마스크 층에 형성된 패턴이 에칭 공정을 이용하여 상기 저 유전상수 층에 전사되어, 저 유전상수 층에 구조적 특징부가 형성되어 있는 기판을 수용하는 단계를 포함한다. 추가적으로, 상기 방법은, 마스크 층과 저 유전상수 층의 노출 표면에 SiOCl-함유 층을 형성하는 단계와, 상기 구조적 특징부의 측벽면 상의 상기 SiOCl-함유 층의 잔여부를 유지시키면서, 상기 마스크 층의 상면 및 상기 저 유전상수 층의 구조적 특징부의 바닥면으로부터 상기 SiOCl-함유 층을 이방성 제거하는 단계를 포함한다. 상기 방법은, 상기 마스크 층을 제거하는 애싱 공정을 수행하는 단계와, 이후에 상기 구조적 특징부의 측벽면으로부터 상기 SiOCl-함유 층의 잔여부를 선택적으로 제거하는 단계를 더 포함한다.
Description
본 발명은 저 유전상수(low-k) 재료에 대한 손상을 경감시키는 방법에 관한 것이다.
금속 배선용 절연층 스택에 저 유전상수 재료를 현실적으로 구현하는 것은 힘겨운 도전 과제에 직면해 있다. 궁극적으로는, 낮춘 유전상수의 유익을 최대한 확보하여 금속 배선에 저 유전상수 재료를 통합시키면서, 구조적으로 강고하고 손상을 최소화한 패터닝된 절연층을 생성하는 것이 바람직하다. 저 유전상수 손상이 축적됨에 따라, 금속 배선에서 성능 열화와 신뢰도 저하가 드러나게 된다.
본 발명의 실시형태는 저 유전상수(low-k) 재료에 대한 손상을 경감시키는 방법에 관한 것이다.
일 실시형태에 따라, 노출된 저 유전상수 표면을 보호하는 방법을 기술한다. 이 방법은, 마스크 층과 저 유전상수 층이 형성된 기판을 수용하는 단계를 포함하며, 리소그래피 공정을 사용하여 상기 마스크 층에 형성된 패턴이 에칭 공정을 이용하여 상기 저 유전상수 층에 전사되어, 저 유전상수 층에 구조적 특징부가 형성된다. 추가적으로, 상기 방법은, 마스크 층과 저 유전상수 층의 노출 표면에 SiOCl-함유 층을 형성하는 단계와, 상기 구조적 특징부의 측벽면 상의 상기 SiOCl-함유 층의 잔여부를 유지시키면서, 상기 마스크 층의 상면 및 상기 저 유전상수 층의 구조적 특징부의 바닥면으로부터 상기 SiOCl-함유 층을 이방성 제거하는 단계를 포함한다. 상기 방법은, 상기 마스크 층을 제거하는 애싱 공정을 수행하는 단계와, 이후에 상기 구조적 특징부의 측벽면으로부터 상기 SiOCl-함유 층의 잔여부를 선택적으로 제거하는 단계를 더 포함한다.
첨부 도면에서,
도 1a 내지 도 1c는 저 유전상수 층을 패터닝하는 종래의 방법을 개략적으로 보여주는 도면이다.
도 2a 내지 도 2e는 일 실시형태에 따라 노출된 저 유전상수 표면을 보호하면서 저 유전상수 층을 패터닝하는 방법을 개략적으로 보여주는 도면이다.
도 3은 일 실시형태에 따라 노출된 저 유전상수 표면을 보호하는 방법을 예시하는 흐름도이다.
도 4a 내지 도 4f는 다른 실시형태에 따라 노출된 저 유전상수 표면을 보호하면서 저 유전상수 층을 패터닝하는 방법을 개략적으로 보여주는 도면이다.
도 5는 일 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 6은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 7은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 8은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 9는 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 10은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 11은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 1a 내지 도 1c는 저 유전상수 층을 패터닝하는 종래의 방법을 개략적으로 보여주는 도면이다.
도 2a 내지 도 2e는 일 실시형태에 따라 노출된 저 유전상수 표면을 보호하면서 저 유전상수 층을 패터닝하는 방법을 개략적으로 보여주는 도면이다.
도 3은 일 실시형태에 따라 노출된 저 유전상수 표면을 보호하는 방법을 예시하는 흐름도이다.
도 4a 내지 도 4f는 다른 실시형태에 따라 노출된 저 유전상수 표면을 보호하면서 저 유전상수 층을 패터닝하는 방법을 개략적으로 보여주는 도면이다.
도 5는 일 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 6은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 7은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 8은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 9는 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 10은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
도 11은 다른 실시형태에 따른 플라즈마 처리 시스템을 개략적으로 보여주는 도면이다.
이하의 설명에서는, 설명을 목적으로 하나 제한적이지 않게, 처리 시스템의 특정 기하학적 구조와 여러 구성 요소뿐만 아니라 이에 사용된 프로세스 등의 구체적인 상세한 사항을 기술한다. 그러나, 본 발명은 이들 구체적인 상세한 사항으로부터 벗어난 다른 실시형태로 실시될 수도 있음은 물론이다.
이와 마찬가지로, 본 발명을 완전하게 이해시키기 위해, 구체적인 수, 재료 및 구성이 설명을 목적으로 기재되어 있다. 그렇지만, 본 발명은 구체적인 세부 사항 없이 실시될 수 있다. 또한, 도면에 도시된 여러 실시형태는 예시적인 대표예이며 일정한 비례로 도시될 필요가 없는 것으로 이해된다.
본 발명을 이해하는 데 가장 도움이 되는 방식으로, 여러 작업을 복수의 별개의 작업으로서 차례차례 기술한다. 그러나, 이러한 기술 순서가, 이들 작업이 필연적으로 순서에 의존함을 의미하는 것으로 해석되어서는 안 된다. 특히, 이들 작업은 제시 순서대로 수행되어야 하는 것은 아니다. 기술한 작업은 기재된 실시예와는 다른 순서로 수행될 수 있다. 추가적인 실시예에서는, 다양한 추가 작업이 수행될 수 있거나 및/또는 기술한 작업이 생략될 수 있다.
본원에 사용되고 있는 바와 같이 "기판"은 일반적으로 본 발명에 따라 처리되는 대상물을 지칭한다. 기판은 디바이스, 특히 반도체 또는 그 밖의 전자 디바이스의 임의의 재료 부분 또는 구조를 포함할 수 있고, 예를 들어 반도체 웨이퍼 등의 베이스 기판 구조, 또는 베이스 기판 구조에 혹은 그 위에 놓이는 박막 등의 층일 수도 있다. 따라서, 기판은 임의의 특정 베이스 구조, 상위층 혹은 하위층, 패터닝된 혹은 패터닝되지 않은 구조에 국한되는 의미를 갖는 것이 아니라, 임의의 상기 층 혹은 베이스 구조와, 층 및/또는 베이스 구조의 임의의 조합을 포함하는 것으로 고려된다. 이하의 설명은 특정 타입의 기판을 참조로 하지만, 이는 단지 예시를 하기 위함이며 한정의 의도는 없다.
앞서 언급한 바와 같이, 반도체 제조에 있어서, 금속 배선용 절연층 스택을 제조하는 경우, 저 유전상수 재료의 통합에는 많은 도전 과제가 제기되어 있다. 예를 들어, 도 1a는 저 유전상수 재료를 패터닝하는 종래의 방법을 도해적으로 예시한다. 이 종래의 방법은, 기판(110)에 저 유전상수 층(120)을 마련하는 단계로 시작된다. 그 후에, 패턴(100)이 형성되어 있는 마스크 층(130)이 저 유전상수 층(120)의 위에 마련된다. 패턴(100)은 비아 패턴 또는 트렌치 패턴을 포함할 수 있다.
도 1b에 예시된 바와 같이, 에칭 공정을 행하여, 패턴(100)을 저 유전상수 층(120)에 전사하거나 및/또는 저 유전상수 층(120)을 관통하게 전사할 수 있다. 에칭 케미스트리(예컨대, 플라즈마 케미스트리)에 저 유전상수 층(120)이 노출되면, 특히 저 유전상수 층(120)에 형성된 패턴의 측벽을 따라, 저 유전상수 층(120)에 초기 손상(122)이 발생하게 된다. 또한, 도 1c에 도시된 바와 같이, 마스크 층(130)의 잔여부를 애싱 공정을 통해 제거한 경우, 저 유전상수 층(120)의 노출부에 의해 추가적인 손상(124)이 발생하게 된다.
마스크 층(130)이 포토레지스트 등의 유기 재료를 함유하는 경우, 애싱 공정은 통상적으로 산소-함유 플라즈마 등의 산소-함유 케미스트리를 이용하여 상기 유기 재료를 제거한다. 이러한 경우에, 상기 산소-함유 케미스트리는, 저 유전상수 층(120)에 있어서 탄소뿐만 아니라 메틸 그룹(즉, CH3)의 대폭 감소를 초래할 수 있다. 이러한 저 유전상수 층(120)의 탈메틸화는 특히 SiCOH-함유 층에서 눈에 띈다. 그 결과, 전술한 공정들에 의해 손상된 저 유전상수 층(120)은, 유전상수가 증대되고, 누출이 증대되며, 친수성이 증대되게 된다.
따라서, 여러 실시형태에 따르면, 손상을 줄이기 위해 노출된 저 유전상수 표면을 보호하는 방법이 기술되어 있다. 이 방법은 도 2a 내지 도 2e에 도해적으로 예시되어 있으며, 도 3에 흐름도(300)로 나타내어져 있다. 도 2에 예시되고 도 3에 나타내어진 바와 같이, 흐름도(300)는, 마스크 층(230)과 저 유전상수 층(220)이 형성된 기판(210)을 수용하는 단계(310)로 시작되며, 리소그래피 공정을 사용하여 마스크 층(230)에 형성된 패턴이 에칭 공정을 이용하여 저 유전상수 층(220)에 전사되어, 저 유전상수 층에 구조적 특징부(200)가 형성된다. 구조적 특징부(200)는, 싱글 다마신 방안, 듀얼 다마신 방안, 트렌치-퍼스트 금속 하드 마스크(TFMHM) 패터닝 방안, 비아-퍼스트-트렌치-라스트(VFTL) 패터닝 방안 등(이에 국한되는 것은 아님)을 비롯한 종래의 다양한 기술을 이용하여 마련되는, 비아, 트렌치 혹은 라인, 또는 트렌치-비아 구조를 포함할 수 있다.
기판(210)은, 벌크 실리콘 기판, 단결정 실리콘 (도핑 혹은 비도핑) 기판, 절연체 상의 반도체(SOI) 기판, 또는 예를 들어 Si, SiC, SiGe, SiGeC, Ge, GaAs, InAs, InP를 함유하는 임의의 다른 반도체 기판뿐만 아니라 그 밖의 Ⅲ/V 혹은 Ⅱ/Ⅵ족 화합물 반도체, 또는 이들의 임의의 조합(Ⅱ, Ⅲ, V, Ⅵ족은 원소 주기율표에 있어서 전통의 혹은 오래된 IUPAC 표기와 관련된 것이며; 개정된 혹은 새로운 IUPAC 표기에 따르면, 이들 족은 각각 2, 13, 15, 16과 관련 있음)을 포함할 수 있다. 기판은 임의의 크기일 수 있고, 예컨대 200 ㎜(밀리미터) 기판, 300 ㎜ 기판, 450 ㎜ 기판, 또는 그보다 큰 기판일 수 있다.
저 유전상수 층(220)은, 약 4인 SiO2의 유전상수(예컨대, 열 이산화규소에 대한 유전상수는 3.8 내지 3.9의 범위일 수 있음)보다 낮은 공칭 유전상수 값을 갖는 저 유전상수(즉, low-k) 또는 초저 유전상수(즉, ultra-low-k) 유전체층을 포함할 수 있다. 보다 구체적으로, 저 유전상수 층(220)은 3.7 미만의 유전상수, 2.5 미만의 유전상수, 또는 1.6 내지 3.7 범위의 유전상수를 가질 수 있다. 저 유전상수 층(220)은 다공성 또는 비다공성일 수도 있다.
예를 들어, 저 유전상수 층(220)은 SiCOH-함유 재료를 포함할 수 있다. 추가적으로, 예컨대 저 유전상수 층(220)은, 작은 공극(또는 세공)을 생성하도록 경화 또는 증착 공정 동안에 완전 치밀화를 막는 CH3 결합을 가진 산화규소계 모재 등의, 단상으로 이루어진 다공성 무기-유기 혼성막을 포함할 수 있다. 또한 별법으로서, 예컨대 저 유전상수 층(220)은, 경화 공정 동안에 분해되어 증발되는 유기 재료(예컨대, 포로겐)의 세공을 가진 탄소-도핑 산화규소계 모재 등의, 2 이상의 상으로 이루어진 다공성 무기-유기 혼성막을 포함할 수 있다.
저 유전상수 층(220)은, 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 원자층 증착(ALD), 플라즈마 강화 ALD(PEALD), 물리적 기상 증착(PVD), 또는 이온화 PVD(iPVD) 등의 증착 시술이나, 혹은 Tokyo Electron Limited(TEL)에서 시판하고 있는 Clean Track ACT 8 SOD(spin-on dielectric), ACT 12 SOD 및 Lithius 코팅 시스템에서 제공되는 것과 같은 스핀-온 기술 등의 증착 기술을 사용하여 형성될 수 있다. Clean Track ACT 8(200 ㎜), ACT 12(300 ㎜) 및 Lithius(300 ㎜) 코팅 시스템은 SOD 재료에 대한 코팅, 베이킹, 및 경화 툴을 제공한다. 상기 Clean Track 코팅 시스템은 100 ㎜, 200 ㎜, 300 ㎜ 및 그 이상의 기판 사이즈를 처리하도록 구성될 수 있다. 기판 상에 박막을 형성하기 위한 다른 시스템 및 방법은, 스핀 온 기술 및 증착 기술 분야의 당업자에게 잘 알려져 있다.
마스크 층(230)은 포토레지스트 등의 방사선 민감 재료의 층을 포함할 수 있다. 포토레지스트는 248 ㎚(나노미터) 레지스트, 193 ㎚ 레지스트, 157 ㎚ 레지스트, EUV(초자외선) 레지스트, 또는 전자빔 민감 레지스트를 포함할 수 있다. 포토레지스트는 트랙 시스템을 이용하여 형성될 수 있다. 예를 들어, 트랙 시스템은 Tokyo Electron Limited(TEL)에서 시판하고 있는 Clean Track ACT 8, ACT 12, 또는 Lithius 레지스트 코팅 및 현상 시스템을 포함할 수 있다. 기판 상에 포토레지스트 층을 형성하기 위한 다른 시스템 및 방법은, 스핀 온 레지스트 기술 분야의 당업자에게 잘 알려져 있다.
추가적으로, 마스크 층(230)은 Shin Etsu Chemical Co., Ltd.에서 Sepr-Shb Aseries SiARC로 시판하고 있는 실리콘-함유 ARC 등의 반사 방지 코팅(ARC) 층을 포함할 수 있다. 선택적인 ARC 층은, 예를 들어 스핀 온 코팅 기술, 또는 증착 공정을 이용하여 도포될 수 있다.
또한, 마스크 층(230)은 유기 평탄화 층(OPL) 또는 유기 유전체 층(ODL)을 포함할 수 있다. ODL 또는 OPL은 감광성 유기 폴리머 또는 에칭 타입 유기 화합물을 포함할 수 있다. 예를 들어, 감광성 유기 폴리머는 폴리아크릴레이트 수지, 에폭시 수지, 페놀 수지, 폴리아미드 수지, 폴리이미드 수지, 불포화 폴리에스테르 수지, 폴리페닐렌에테르 수지, 폴리페닐렌설파이드 수지, 또는 벤조시클로부텐(BCB)일 수 있다. 이러한 재료는 스핀 온 기술 또는 증착 기술을 이용하여 형성될 수 있다.
또한, 마스크 층(230)은 하드 마스크 층을 포함할 수 있다. 하드 마스크 층은 금속, 또는 금속 함유 재료를 포함할 수 있다. 추가적으로, 하드 마스크 층은 Si-함유 재료 또는 C-함유 재료를 포함할 수 있다. Si-함유 재료 또는 C-함유 재료는, 산화규소(SixOy), 질화규소(SixNy), 산질화규소(SixOyNz), 산탄화규소(SixOyCz), 또는 카본[다이아몬드상 카본(DLC), 비정질 카본(a-C), 또는 그래파이트]을 예를 들어 함께 혹은 이들의 임의의 조합을 포함할 수 있다.
패턴은 일련의 리소그래피 및 선택적 에칭 단계를 이용하여 마스크 층(230)에 형성될 수 있다. 일단 마련된 패턴(또는 일련의 마련된 패턴)은 하나 이상의 플라즈마 에칭 공정 등의 하나 이상의 에칭 공정을 이용하여, 아래에 있는 박막에, 즉 저 유전상수 층(220)에 전사될 수 있다.
도 2b에 예시된 바와 같이, 단계 320에서는, 마스크 층(230)과 저 유전상수 층(220)에 형성된 구조적 특징부(200)의 노출된 표면에 SiOCl-함유 층(240)을 형성한다. SiOCl-함유 층(240)은 Si, O 및 Cl을 함유한다. SiOCl-함유 층(240)은 Si, Cl 및 O를 함유하는 환경에서 증착 공정을 수행함으로써 형성될 수 있다.
일 실시형태에서, SiOCl-함유 층(240)은, 초기 성분으로서 SiCl4 및 O2를 함유하는 성막 프로세스 조성물을 사용하여 플라즈마를 발생시키는 것을 포함하는 플라즈마 지원 증착 공정을 수행함으로써 형성된다. 상기 성막 프로세스 조성물은 SiCl4 및 O2를 포함하지만, 그 밖의 Cl-함유 및 O-함유 가스 혹은 증기도 고려된다. 예를 들어, 상기 성막 프로세스 조성물은 초기 성분으로서 실란(SiH4), Cl-함유 가스(예컨대, Cl2, HCl 등) 및 산소-함유 가스(예컨대, O2)를 포함할 수 있다.
상기 플라즈마 지원 증착 공정에서 플라즈마를 형성하려면, 상기 성막 프로세스 조성물의 구성 성분은, 대기압 및/또는 진공압에서 단독 혹은 캐리어 가스(예컨대, 희가스 성분 또는 질소)와 함께 가스상 및/또는 기상으로 존재하는 것이 선택되어야 한다.
상기 플라즈마 지원 증착 공정은, 기판(210)이 놓이는 기판 홀더에 RF(radio frequency) 바이어스를 인가하는 것을 제외시킬 수 있다. 기판(210)의 온도는 섭씨 약 0도 내지 약 100도의 범위일 수 있다. 또한, SiOCl-함유 층(240)을 형성할 때, 플라즈마 지원 증착 공정에서의 적어도 하나의 공정 파라미터를 조정하여, 마스크 층(230)을 제거하는 데 이용될 수 있는 후속 애싱 공정에 대한 SiOCl-함유 층의 에칭 저항성을 증가시킬 수 있다.
다른 실시형태에서, SiOCl-함유 층(240)은 SiCl4 및 H2O에 기판(210)을 노출시키고 기판(210)을 가열함으로써 형성된다. 기판(210)의 온도는 섭씨 약 30도 내지 약 100도의 범위일 수 있다.
도 2c에 예시된 바와 같이, 단계 330에서는, 구조적 특징부(200)의 측벽면(222) 상에 SiOCl-함유 층(240)의 잔여부를 유지시키면서, 마스크 층(230)의 상면(232)과 저 유전상수 층(220)의 구조적 특징부(200)의 바닥면(212)으로부터 SiOCl-함유 층(240)을 이방성 제거한다. 마스크 층(230)의 상면(232)과 구조적 특징부(200)의 바닥면(212)으로부터 SiOCl-함유 층(240)을 제거하는 것은, 하나 이상의 에칭 공정을 이용하여 수행될 수 있다. 하나 이상의 에칭 공정은 건식 플라즈마 에칭 공정 또는 건식 비플라즈마 에칭 공정을 포함할 수 있다.
일 실시형태에서, 건식 플라즈마 에칭 공정은 이방성 플라즈마 에칭 공정을 포함한다. 이방성 플라즈마 에칭 공정은 C 및 F를 함유하는 에칭 프로세스 조성물로부터 플라즈마를 형성하는 것을 포함할 수 있다. 예를 들어, 에칭 프로세스 조성물은 플루오로카본(즉, CxFy, 여기서 x 및 y는 1 이상의 수)을 포함할 수 있다.
추가적으로 예를 들어, 에칭 프로세스 조성물은 할로메탄 가스를 포함할 수 있다. 할로메탄 가스는 1치환 할로메탄(예컨대, CH3F), 2치환 할로메탄(예컨대, CH2F2), 3치환 할로메탄(예컨대, CHF3), 또는 4치환 할로메탄(예컨대, CF4)을 포함할 수 있다.
추가적으로 예를 들어, 에칭 프로세스 조성물은 하이드로카본(즉, CxHy, 여기서 x 및 y는 1 이상의 수)을 포함할 수 있다. 별법으로서 예를 들어, 에칭 프로세스 조성물은 화학식이 CxHyRz인 첨가 가스(여기서, R은 할로겐 원소, x 및 y는 1 이상의 수, z는 0 이상의 수)를 포함할 수 있다.
또한 예를 들어, 에칭 프로세스 조성물은 희가스를 포함할 수 있다. 에칭 프로세스 조성물은 산소-함유 가스, 수소-함유 가스, 질소-함유 가스, 탄소-함유 가스, 또는 이들 중 2 이상의 임의의 조합을 포함할 수 있다. 예를 들어, 에칭 프로세스 조성물은 H2, O2, N2, CO, CO2, NH3, NO, N2O, NO2, 또는 이들 중 2 이상의 조합을 포함할 수 있다. 에칭 프로세스 조성물은 불소-함유 가스, 염소-함유 가스, 브롬-함유 가스, 또는 할로겐화물 가스를 더 포함할 수 있다. 예를 들어, 에칭 프로세스 조성물은 HBr, F2, Cl2, Br2, BCl3, NF3, 또는 SF6을 포함할 수 있다.
일 실시형태에서, 이방성 플라즈마 에칭 공정용 에칭 프로세스 조성물은 희가스와 CF4, C4F6, C4F8 및 C5F8로 이루어진 군에서 선택된 하나 이상의 가스를 포함할 수 있다. 다른 실시형태에서, 이방성 플라즈마 에칭 공정용 에칭 프로세스 조성물은 CF4 및 Ar을 포함할 수 있다.
이방성 플라즈마 에칭 공정은 에칭 프로세스 레시피를 준비하는 것을 포함할 수 있다. 에칭 프로세스 레시피는 하나 이상의 공정 파라미터에 의해 정해지는 하나 이상의 공정 조건을 포함할 수 있다. 하나 이상의 공정 조건은, 하나 이상의 공정 파라미터를 설정함으로써, 예를 들어 에칭 프로세스 조성물의 각 구성 성분의 유량을 설정하는 것; 플라즈마 처리 시스템의 압력을 설정하는 것; 기판을 지지하고 전기적으로 바이어스하는 기판 홀더 내의 하부 전극에 인가되는 제1 RF 신호에 대한 제1 RF(radio frequency) 파워 레벨을 설정하는 것; 하부 전극에, 또는 기판 위에서 하부 전극에 대향해 있는 소스 안테나 혹은 상부 전극에 인가되는 제2 RF 신호에 대한 제2 RF(또는 마이크로파) 파워 레벨을 설정하는 것; 플라즈마 처리 시스템에 대한 온도 조건을 설정하는 것; 기판 혹은 기판 홀더에 대한 온도 조건을 설정하는 것; 에칭 시간을 설정하는 것; 및/또는 오버-에칭 시간을 설정하는 것에 의해 확립될 수 있다. 이방성 플라즈마 에칭 공정 동안에, 상기 공정 파라미터 중 어느 하나를 변경할 수 있다.
이방성 플라즈마 에칭 공정은, 기판(210)이 놓이는 기판 홀더에 RF(radio frequency) 바이어스를 인가하는 것을 포함할 수 있다. 기판(210)의 온도는 섭씨 약 0도 내지 약 100도의 범위일 수 있다. 또한, 이방성 플라즈마 에칭 공정을 수행할 때, 이방성 플라즈마 에칭 공정에 있어서 적어도 하나의 공정 파라미터를 조정하여, 구조적 특징부(200)의 한계 치수(CD), 구조적 특징부(200)의 측벽 프로파일 등을 제어할 수 있다.
다른 실시형태에서는, 오버-에칭 공정을 수행할 수 있다.
도 2d에 예시된 바와 같이, 단계 340에서는, 마스크 층(230)의 적어도 일부분을 제거하기 위해 애싱 공정을 수행한다. 애싱 공정은 하나 이상의 애싱 단계를 포함할 수 있다.
일 실시형태에서, 애싱 공정은 플라즈마 애싱 공정을 포함할 수 있다. 예를 들어, 플라즈마 애싱 공정은 O, N, H, 또는 이들 중 2 이상의 임의의 조합을 함유하는 프로세스 조성물을 사용하여 플라즈마를 발생시키는 것을 포함할 수 있다. 다른 실시형태에서, 애싱 공정은 기판(210)을 산소-함유 환경에 노출시키는 것을 포함할 수 있다. 산소-함유 환경은 단원자 산소(O), 이원자 산소(O2), 삼원자 산소(오존, O3), 산소-함유 분자, 이온화된 산소, 준안정 산소, 임의의 여기 상태의 산소, 또는 이들 중 2 이상의 임의의 조합을 포함할 수 있다. 예를 들어, 산소 함유 환경은 O, O2, O3, CO, CO2, NO, N2O, NO2, 또는 이들 중 2 이상의 조합을 함유할 수 있다. 추가적으로 예를 들어, 산소 함유 환경은 O2를 포함할 수 있다.
도 2e에 예시된 바와 같이, 단계 350에서는, 애싱 공정의 수행 이후에, SiOCl-함유 층(240)의 잔여부를 구조적 특징부(200)의 측벽면(222)으로부터 선택적으로 제거한다. 일 실시형태에서, 이와 같이 구조적 특징부(200)의 측벽면(222)으로부터 SiOCl-함유 층(240)의 잔여부를 선택적으로 제거하는 것은, 습식 세정 공정을 수행함으로써 이루어진다. 예를 들어, 습식 세정 공정은 묽은 HF 수용액 등의 HF 용액에 SiOCl-함유 층의 잔여부를 침지하는 것을 포함할 수 있다.
일 실시형태에서, SiOCl-함유 층을 형성하는 증착 공정과, 이방성 플라즈마 에칭 공정, 그리고 애싱 공정은 동일한 플라즈마 처리 시스템에서 수행된다. 변형예에서, SiOCl-함유 층을 형성하는 증착 공정과, 이방성 플라즈마 에칭 공정, 그리고 애싱 공정은 독립된 플라즈마 처리 시스템에서 수행된다.
다른 실시형태에서, SiOCl-함유 층을 형성하는 증착 공정과, 이방성 플라즈마 에칭 공정, 그리고 애싱 공정 중 2 이상은, 구조적 특징부(200)의 측벽면(222)이 충분히 보호되기까지, 복수의 사이클, 예를 들어 2 이상의 사이클 반복된다.
다른 실시형태에 따르면, 손상을 줄이기 위해 노출된 저 유전상수 표면을 보호하는 방법이 기술되어 있다. 이 방법은 도 4a 내지 도 4f에 도해적으로 예시되어 있다. 도 4a에 예시된 바와 같이, 마스크 층(430)과 저 유전상수 층(420)이 형성되어 있는 기판(410)을 수용하며, 마스크 층(430)에는 리소그래피 공정과 선택적으로 하나 이상의 에칭 공정을 이용하여 패턴이 형성되어 있다.
도 4b에 예시된 바와 같이, 하나 이상의 에칭 공정을 이용해 상기 패턴을 마스크 층(430)으로부터 저 유전상수 층(420)에 부분적으로 전사하여, 저 유전상수 층에 적어도 초기 단계의 구조적 특징부(400)를 형성한다. 구조적 특징부(400)는, 싱글 다마신 방안, 듀얼 다마신 방안, 트렌치-퍼스트 금속 하드 마스크(TFMHM) 패터닝 방안, 비아-퍼스트-트렌치-라스트(VFTL) 패터닝 방안 등(이에 국한되는 것은 아님)을 비롯한 종래의 다양한 기술을 이용하여 혹은 이들 다양한 기술에 따라 마련되는, 비아, 트렌치 혹은 라인, 또는 트렌치-비아 구조를 포함할 수 있다.
도 4c에 예시된 바와 같이, 마스크 층(430)과 저 유전상수 층(420)에 부분적으로 형성된 구조적 특징부(400)의 노출된 표면에 SiOCl-함유 층(440A)을 형성한다. 그 후에, 도 4d에 예시된 바와 같이, 하나 이상의 추가적인 에칭 공정을 이용하여, 구조적 특징부(400)를 저 유전상수 층(420)에 보다 깊게 발달시킨다. 도 4d에 도시된 바와 같이, 하나 이상의 추가적인 에칭 공정 동안에, SiOCl-함유 층(440A)을 마스크 층(430)으로부터 적어도 부분적으로 제거하고, 저 유전상수 층(420)의 구조적 특징부(420)의 측벽을 따라서는 가능한 얇게 만들어, 잔여 SiOCl-함유 층(440B)을 남길 수 있다. 그러나, 발달시킨 구조적 특징부(400)의 측벽에 잔여 SiOCl-함유 층(440B)이 존재함으로써, 하나 이상의 추가적인 에칭 공정의 에칭 케미스트리, 예컨대 플라즈마 케미스트리와 저 유전상수 층(420)의 상호 작용을 줄일 수 있다.
그 후에, 도 4e에 예시된 바와 같이, 마스크 층(430)과 저 유전상수 층(420)에 부분적으로 형성된 구조적 특징부(400)의 노출된 표면에 추가적인 SiOCl-함유 층(440C)을 형성한다. 그 후에, 도 4f에 예시된 바와 같이, 하나 이상의 또 다른 추가적인 에칭 공정을 이용하여, 구조적 특징부(400)를 저 유전상수 층(420)에 보다 깊게 발달시킨다. 도 4f에 도시된 바와 같이, 하나 이상의 또 다른 추가적인 에칭 공정 동안에, 추가적인 SiOCl-함유 층(440C)을 마스크 층(430)으로부터 적어도 부분적으로 제거하고, 저 유전상수 층(420)의 구조적 특징부(420)의 측벽을 따라서는 가능한 얇게 만들어, 추가적인 잔여 SiOCl-함유 층(440D)을 남길 수 있다. 저 유전상수 층(420)에 혹은 저 유전상수 층(420)을 관통하게 구조적 특징부(400)를 형성하는 동안에 수행되는 일련의 단계는, 구조적 특징부의 측벽이 에칭 케미스트리에 노출되어 있을 때, 상기 발달시킨 구조적 특징부(400)의 측벽을 보호하고 손상을 줄일 수 있다.
도 4a 내지 도 4f에 기술된 에칭-증착-에칭-증착(등등) 방안은, 패터닝 중에 구조적 특징부(400)가 발달되는 동안에 저 유전상수 층(420)의 노출된 표면을 보호하여, 저 유전상수 층(420)과 에칭 케미스트리 사이의 상호 작용을 제한하는 데 이용될 수 있다. 이와 같이 상호 작용을 제한함으로써, 저 유전상수 층(420)에 대한 손상을 줄일 수 있다.
전술한 여러 실시형태에 따라 SiOCl-함유 층을 형성하는 증착 공정과, 이방성 플라즈마 에칭 공정, 그리고 애싱 공정 중 어느 하나를 수행하는 하나 이상의 방법은, 도 5 내지 도 11에 예시되어 있고 후술하는 플라즈마 처리 시스템 중의 어느 하나에서 수행될 수 있다.
일 실시형태에 따르면, 앞서 알아본 공정 조건을 이행하도록 구성되어 있고, 플라즈마 처리 챔버(510)와, 처리 대상인 기판(525)이 부착되는 기판 홀더(520), 그리고 진공 펌핑 시스템(550)을 포함하는 것인 플라즈마 처리 시스템(500)이 도 5에 도시되어 있다. 기판(525)은 반도체 기판, 웨이퍼, 평판 디스플레이, 또는 액정 디스플레이일 수 있다. 플라즈마 처리 챔버(510)는, 기판(525)의 표면 부근의 플라즈마 처리 영역(45)에 플라즈마를 형성할 수 있게 하도록 구성될 수 있다. 공정 가스의 이온화 가스 또는 혼합물이 가스 분배 시스템(540)을 통해 도입된다. 공정 가스의 흐름이 정해진 경우, 진공 펌핑 시스템(550)을 이용하여 공정 압력을 조정한다. 플라즈마는 소정 재료 프로세스에 특화된 재료를 만들어내는 데에, 및/또는 기판(525)의 노출면으로부터 재료를 제거하는 것을 돕는 데에 사용될 수 있다. 플라즈마 처리 시스템(500)은 임의의 원하는 크기의 기판, 예를 들어 200 ㎜ 기판, 300 ㎜ 기판, 또는 그보다 큰 기판 등을 처리하도록 구성될 수 있다.
기판(525)은 기계식 클램핑 시스템 또는 전기식 클램핑 시스템(예컨대, 정전 클램핑 시스템)과 같은 클램핑 시스템(528)을 통해 기판 홀더(520)에 부착될 수 있다. 또한, 기판 홀더(520)는, 기판 홀더(520) 및 기판(525)의 온도를 조정 및/또는 제어하도록 구성되어 있는 가열 시스템(도시 생략) 또는 냉각 시스템(도시 생략)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은, 냉각시에는 기판 홀더(520)로부터 열을 받아서 열을 열교환기 시스템(도시 생략)에 전달하고, 또는 가열시에는 열을 열교환기 시스템으로부터 기판 홀더(520)에 전달하는 열교환 유체의 재순환 흐름을 포함할 수 있다. 다른 실시예에서는, 저항 가열 요소와 같은 가열/냉각 요소, 또는 열전 히터/쿨러가, 기판 홀더(520)뿐만 아니라 플라즈마 처리 챔버(510)의 챔버 벽과 플라즈마 처리 시스템(500) 내의 임의의 다른 구성 요소 내에 포함될 수 있다.
또한, 열전달 가스를 이면 가스 공급 시스템(526)을 통해 기판(525)의 이면으로 이송하여 기판(525)과 기판 홀더(520) 사이의 가스 갭 열전도도를 향상시킬 수 있다. 이러한 시스템은, 고온 또는 저온에서 기판의 온도 제어가 요구될 때 사용될 수 있다. 예컨대, 이면 가스 공급 시스템은 2구역 가스 분배 시스템을 포함할 수 있고, 이 경우 헬륨 가스 갭 압력은 기판(525)의 중앙과 가장자리에서 독립적으로 바뀔 수 있다.
도 5에 도시된 실시형태에서, 기판 홀더(520)는 전극(522)을 포함하고, 이 전극을 통해 RF 파워가 플라즈마 처리 영역(545)의 처리 플라즈마에 결합된다. 예를 들어, 기판 홀더(520)는, RF 파워를 RF 발생기(530)로부터 선택적 임피던스 매칭 네트워크(532)를 통하여 기판 홀더(520)에 전송하는 것을 통해, RF 전압으로 전기적으로 바이어스될 수 있다. RF 전기 바이어스는 전자를 가열하여 플라즈마를 형성 및 유지하는 역할을 할 수 있다. 이러한 구성에서, 플라즈마 처리 시스템은 반응성 이온 에칭(RIE) 반응기로서 작용할 수 있고, 이 경우 챔버와 상부 가스 주입 전극은 접지면의 역할을 한다. 대표적인 RF 바이어스용 주파수는 약 0.1 ㎒ 내지 약 100 ㎒일 수 있다. 플라즈마 처리용 RF 시스템은 당업자에게 잘 알려져 있다.
또한, 펄스 바이어스 신호 제어기(531)를 사용하여, RF 전압에서의 전극(522)의 전기 바이어스를 펄스화할 수 있다. RF 발생기(530)로부터의 RF 파워 출력은 예를 들어 오프-상태와 온-상태의 사이에서 펄스화될 수 있다.
별법으로서, RF 파워는 다수의 주파수로 기판 홀더의 전극에 인가된다. 또한, 임피던스 매칭 네트워크(532)는, 반사 파워를 감소시킴으로써 플라즈마 처리 챔버(510) 내의 플라즈마에 대한 RF 파워의 전달을 향상시킬 수 있다. 매칭 네트워크 형태(예컨대, L형, π형, T형 등)와 자동 제어 방법이 당업자에게 잘 알려져 있다.
가스 분배 시스템(540)은, 공정 가스의 혼합물을 도입하기 위한 샤워헤드 디자인을 가질 수 있다. 별법으로서, 가스 분배 시스템(540)은, 공정 가스의 혼합물을 도입하고 공정 가스의 혼합물의 기판(525) 상에서의 분포를 조정하기 위한 다구역 샤워헤드 디자인을 가질 수 있다. 예를 들어, 다구역 샤워헤드 디자인은, 기판(525) 상에서 실질적으로 주변 영역에 이르는 공정 가스의 흐름 또는 조성을, 기판(525) 상에서 실질적인 중앙 영역에 이르는 공정 가스의 흐름 또는 조성의 양에 관하여 조정하도록 구성될 수 있다.
진공 펌핑 시스템(550)은, 펌핑 속도를 약 초당 5,000 리터(또는 그 이상)까지 올릴 수 있는 터보 분자 진공 펌프(TMP)와, 챔버 압력을 조절하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 사용되는 통상의 플라즈마 처리 장치에는, 초당 1,000 내지 3,000 리터의 TMP가 채용될 수 있다. TMP는 통상 약 50 mTorr 미만의 저압 처리에 유용하다. 고압(즉, 약 100 mTorr 초과) 처리의 경우, 기계식 부스터 펌프 및 건식 러핑 펌프가 사용될 수 있다. 또한, 챔버 압력을 모니터링하기 위한 장치(도시 생략)가 플라즈마 처리 챔버(510)에 연결될 수 있다.
제어기(555)는 마이크로프로세서와, 메모리, 그리고 플라즈마 처리 시스템(500)과의 통신 및 입력 기동을 행할 뿐만 아니라 플라즈마 처리 시스템(500)으로부터의 출력을 모니터링하기에 충분한 제어 전압을 발생시킬 수 있는 디지털 I/O 포트를 포함한다. 또한, 제어기(555)는 RF 발생기(530), 펄스 바이어스 신호 제어기(531), 임피던스 매칭 네트워크(532), 가스 분배 시스템(540), 진공 펌핑 시스템(550)뿐만 아니라 기판 가열/냉각 시스템(도시 생략), 이면 가스 공급 시스템(526), 및/또는 정전 클램핑 시스템(528)에 연결될 수 있고, 이들과 정보를 교환할 수 있다. 예컨대, 기판(525) 상에 플라즈마 에칭 공정 등의 플라즈마 지원 공정을 행하기 위해 프로세스 레시피에 따라 전술한 플라즈마 처리 시스템(500)의 구성 요소들에 대한 입력을 기동하는 데에, 메모리에 기억된 프로그램을 사용할 수 있다.
제어기(555)는 플라즈마 처리 시스템(500)에 대해 가까이 위치할 수 있고, 또는 플라즈마 처리 시스템(500)에 대해 원거리에 위치할 수 있다. 예를 들어, 제어기(555)는 직접 접속, 인트라넷, 및/또는 인터넷을 이용하여 플라즈마 처리 시스템(500)과 데이터를 교환할 수 있다. 제어기(555)는, 예를 들어 고객 사이트(즉, 디바이스 생산 회사 등)에 있는 인트라넷에 연결될 수 있고, 또는 예를 들어 판매자 사이트(즉, 장비 제조자)에 있는 인트라넷에 연결될 수 있다. 별법으로서 또는 추가적으로, 제어기(555)는 인터넷에 연결될 수 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)가, 직접 접속, 인트라넷, 및/또는 인터넷을 통하여 데이터를 교환하도록 제어기(555)에 액세스할 수 있다.
도 6에 도시된 실시형태에서, 플라즈마 처리 시스템(600)은 도 5의 실시형태와 유사하며, 도 5를 참조하여 설명한 구성 요소 이외에도, 플라즈마 밀도를 증대시키고 및/또는 플라즈마 처리의 균일성을 향상시키는 능력을 갖기 위해, 고정식 자기장 시스템(660) 또는 기계적으로 혹은 전기적으로 회전하는 자기장 시스템(660)을 더 포함한다. 또한, 제어기(555)는 회전 속도와 자기장 강도를 조절하기 위해 자기장 시스템(660)에 연결될 수 있다. 회전 자기장의 디자인 및 구현은 당업자에게 잘 알려져 있다.
도 7에 도시된 실시형태에서, 플라즈마 처리 시스템(700)은 도 5 또는 도 6의 실시형태와 유사하며, 상부 전극(770)을 더 포함할 수 있고, 이 상부 전극에는 RF 파워가 RF 발생기(772)로부터 선택적 임피던스 매칭 네트워크(774)를 통해 결합될 수 있다. 상부 전극에 대한 RF 파워 인가용 주파수는 약 0.1 ㎒ 내지 약 200 ㎒의 범위일 수 있다. 또한, 하부 전극에 대한 파워 인가용 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위 수 있다. 또한, 제어기(555)는 상부 전극(770)에 대한 RF 파워의 인가를 제어하기 위해 RF 발생기(772) 및 임피던스 매칭 네트워크(774)에 연결되어 있다. 상부 전극의 디자인 및 구현은 당업자에게 잘 알려져 있다. 상부 전극(770)과 가스 분배 시스템(540)은 도시된 바와 같이 동일한 챔버 조립체 내에 있도록 디자인될 수 있다. 별법으로서, 상부 전극(770)은 기판(525) 상의 플라즈마에 결합된 RF 파워의 분포를 조정하기 위한 다구역 전극 디자인을 가질 수 있다. 예를 들어, 상부 전극(770)은 중앙 전극 및 가장자리 전극으로 분할될 수 있다.
도 8에 도시된 실시형태에서, 플라즈마 처리 시스템(800)은 도 7의 실시형태와 유사하며, 기판(525)에 대향해 있는 상부 전극(770)에 연결된 직류(DC) 전원(890)을 더 포함할 수 있다. 상부 전극(770)은 전극판을 포함할 수 있다. 전극판은 실리콘 함유 전극판을 포함할 수 있다. 또한, 전극판은 도핑된 실리콘 전극판을 포함할 수 있다. DC 전원(890)은 가변 DC 전원을 포함할 수 있다. 추가적으로, DC 전원(890)은 바이폴라 DC 전원을 포함할 수 있다. DC 전원(890)은 DC 전원(890)의 극성, 전류, 전압, 또는 온/오프 상태에 대한 모니터링, 조정, 또는 제어 중 적어도 하나를 행하도록 구성된 시스템을 더 포함할 수 있다. 일단 플라즈마가 형성되면, DC 전원(890)은 탄도 전자빔의 형성을 가능하게 한다. RF 파워를 DC 전원(890)으로부터 분리시키는 데 전기 필터(도시 생략)를 이용할 수 있다.
예를 들어, DC 전원(890)에 의해 상부 전극(770)에 인가된 DC 전압은, 약 -2,000 V 내지 약 1,000 V의 범위일 수 있다. 바람직하게는, DC 전압의 절대값이 약 100 V 이상의 값을 갖고, 더 바람직하게는, DC 전압의 절대값이 약 500 V 이상의 값을 갖는다. 또한, DC 전압은 음극성을 갖는 것이 바람직하다. 또한, DC 전압은 상부 전극(770)의 표면에 발생된 자기(自己) 바이어스 전압보다 큰 절대값을 갖는 음의 전압인 것이 바람직하다. 기판 홀더(520)에 면해 있는 상부 전극(770)의 표면은 실리콘 함유 재료로 구성될 수 있다.
도 9에 도시된 실시형태에서, 플라즈마 처리 시스템(900)은 도 5 및 도 6의 실시형태와 유사하며, 유도 코일(980)을 더 포함할 수 있고, 이 유도 코일에는 RF 파워가 RF 발생기(982)를 거쳐 선택적 임피던스 매칭 네트워크(984)를 통해 결합된다. RF 파워는 유도 코일(980)로부터 유전체창(도시 생략)을 통해 플라즈마 처리 영역(545)에 유도 결합된다. 유도 코일(980)에 대한 RF 파워 인가용 주파수는 약 10 ㎒ 내지 약 100 ㎒의 범위일 수 있다. 마찬가지로, 척 전극에 대한 파워 인가용 주파수는 약 0.1 ㎒ 내지 약 100 ㎒의 범위일 수 있다. 또한, 슬롯이 형성된 패러데이 실드(도시 생략)가, 유도 코일(980)과 플라즈마 처리 영역(545)의 플라즈마 사이의 용량성 결합을 감소시키는 데에 이용될 수 있다. 또한, 제어기(555)는 유도 코일(980)에 대한 파워의 인가를 제어하기 위해 RF 발생기(982) 및 임피던스 매칭 네트워크(984)에 연결될 수 있다.
도 10에 도시된 바와 같은 변형예에서, 플라즈마 처리 시스템(1000)은 도 9의 실시형태와 유사하며, 변압기 결합 플라즈마(TCP) 반응기에서와 같이, 위에서부터 플라즈마 처리 영역(545)과 통해 있는 "나선형" 코일 또는 "팬케이크형" 코일인 유도 코일(1080)을 더 포함할 수 있다. 유도 결합 플라즈마(ICP) 소스, 또는 변압기 결합 플라즈마(TCP) 소스의 디자인 및 구현은 당업자에게 잘 알려져 있다.
별법으로서, 전자 사이클로트론 공명(ECR)을 이용하여 플라즈마를 형성할 수 있다. 또 다른 실시형태에서는, 헬리콘파를 일으킴으로써 플라즈마가 형성된다. 또 다른 실시형태에서는, 전파형 표면파를 통해 플라즈마가 형성된다. 전술한 플라즈마 소스 각각은 당업자에게 잘 알려져 있다.
도 11에 도시된 실시형태에서, 플라즈마 처리 시스템(1100)은 도 5의 실시형태와 유사하며, 표면파 플라즈마(SWP) 소스(1130)를 더 포함할 수 있다. SWP 소스(1130)는 레이디얼 라인 슬롯 안테나(RLSA) 등과 같은 슬롯 안테나를 포함할 수 있고, 마이크로파 파워가 파워 결합 시스템(1190)을 통해 슬롯 안테나에 결합된다.
본 발명의 특정 실시형태만을 상세히 전술하였지만, 당업자라면 본 발명의 신규한 교시 및 이점에서 실질적으로 벗어나지 않으면서, 상기 특정 실시형태에 다양한 변형을 실시할 수 있음을 쉽게 이해할 것이다. 따라서, 이러한 모든 변경은 본 발명의 범위 내에 포함되는 것이다.
Claims (20)
- 노출된 저 유전상수(low-K) 표면을 보호하는 방법으로서,
마스크 층과 저 유전상수 층이 형성된 기판을 수용하는 단계로서, 리소그래피 공정을 사용하여 상기 마스크 층에 형성된 패턴이 에칭 공정을 이용하여 상기 저 유전상수 층에 전사되어, 저 유전상수 층에 구조적 특징부가 형성되는 것인 상기 기판을 수용하는 단계;
상기 마스크 층과 상기 저 유전상수 층의 노출된 표면에 SiOCl-함유 층을 형성하는 단계;
상기 구조적 특징부의 측벽면 상의 상기 SiOCl-함유 층의 잔여부를 유지시키면서, 상기 마스크 층의 상면 및 상기 저 유전상수 층의 상기 구조적 특징부의 바닥면으로부터 상기 SiOCl-함유 층을 이방성 제거하는 단계;
상기 마스크 층의 적어도 일부분을 제거하도록 애싱 공정을 수행하는 단계;
상기 애싱 공정을 수행한 이후에, 상기 구조적 특징부의 상기 측벽면으로부터 상기 SiOCl-함유 층의 상기 잔여부를 선택적으로 제거하는 단계
를 포함하는 노출된 저 유전상수 표면 보호 방법. - 제1항에 있어서, 상기 SiOCl-함유 층을 형성하는 단계는, Si, Cl 및 O를 함유하는 환경에서 증착 공정을 수행하는 것을 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
- 제1항에 있어서, 상기 SiOCl-함유 층을 형성하는 단계는, 초기 성분으로서 SiCl4 및 O2를 함유하는 프로세스 조성물을 사용하여 플라즈마를 발생시키는 것을 포함하는 플라즈마 지원 증착 공정을 수행하는 것을 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
- 제3항에 있어서, 상기 플라즈마 지원 증착 공정에는, 상기 기판이 놓이는 기판 홀더에 RF(radio frequency) 바이어스를 인가하는 것이 제외되어 있는 것인 노출된 저 유전상수 표면 보호 방법.
- 제3항에 있어서, 상기 기판의 온도가 섭씨 0도 내지 100도의 범위인 것인 노출된 저 유전상수 표면 보호 방법.
- 제3항에 있어서, 상기 SiOCl-함유 층을 형성하는 단계는, 상기 SiOCl-함유 층의 상기 애싱 공정에 대한 에칭 저항성을 증가시키도록, 상기 플라즈마 지원 증착 공정에서의 적어도 하나의 공정 파라미터를 조정하는 것을 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
- 제1항에 있어서, 상기 SiOCl-함유 층을 형성하는 단계는, SiCl4 및 H2O에 상기 기판을 노출시키고 상기 기판을 가열하는 것을 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
- 제1항에 있어서, 상기 SiOCl-함유 층을 이방성 제거하는 단계는, 초기 성분으로서 CxFy-함유 가스 및 희가스를 함유하는 프로세스 조성물을 사용하여 플라즈마를 발생시키는 것을 포함하는 이방성 플라즈마 에칭 공정을 이용하는 것을 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
- 제8항에 있어서, 상기 이방성 플라즈마 에칭 공정에는, 상기 기판이 놓이는 기판 홀더에 RF(radio frequency) 바이어스를 인가하는 것이 포함되어 있는 것인 노출된 저 유전상수 표면 보호 방법.
- 제1항에 있어서, 상기 애싱 공정은 O, N, H, 또는 이들 중 2 이상의 임의의 조합을 함유하는 프로세스 조성물을 사용하여 플라즈마를 발생시키는 것을 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
- 제1항에 있어서, 상기 구조적 특징부의 상기 측벽면으로부터 상기 SiOCl-함유 층의 상기 잔여부를 선택적으로 제거하는 단계는, 습식 세정 공정을 수행하는 것을 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
- 제11항에 있어서, 상기 구조적 특징부의 상기 측벽면으로부터 상기 SiOCl-함유 층의 상기 잔여부를 선택적으로 제거하는 단계는, HF 수용액에 상기 SiOCl-함유 층의 상기 잔여부를 침지하는 것을 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
- 제1항에 있어서, 상기 구조적 특징부는 비아, 트렌치, 또는 트렌치-비아 구조를 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
- 제13항에 있어서, 상기 트렌치-비아 구조는, 트렌치-퍼스트 금속 하드 마스크 방안 또는 비아-퍼스트 트렌치-라스트 방안을 이용하여 형성되는 것인 노출된 저 유전상수 표면 보호 방법.
- 제1항에 있어서, 상기 마스크 층은 방사선 민감 재료의 층을 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
- 제15항에 있어서, 상기 기판은 반사 방지 코팅(ARC) 층을 더 포함하고, 선택적으로 상기 방사선 민감 재료의 층과 상기 저 유전상수 층의 사이에 배치되는 유기 평탄화 층(OPL)을 더 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
- 제1항에 있어서, 상기 저 유전상수 층이 갖는 유전 상수는 4 미만의 값인 것인 노출된 저 유전상수 표면 보호 방법.
- 제1항에 있어서, 상기 저 유전상수 층이 갖는 유전 상수는 2.5 미만의 값인 것인 노출된 저 유전상수 표면 보호 방법.
- 제1항에 있어서, 상기 저 유전상수 층은 다공성 저 유전상수 층 또는 비다공성 저 유전상수 층을 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
- 제1항에 있어서, 상기 저 유전상수 층은 SiCOH-함유 층을 포함하는 것인 노출된 저 유전상수 표면 보호 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/413,878 | 2012-03-07 | ||
US13/413,878 US8592327B2 (en) | 2012-03-07 | 2012-03-07 | Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20130102504A KR20130102504A (ko) | 2013-09-17 |
KR101889107B1 true KR101889107B1 (ko) | 2018-08-16 |
Family
ID=49114495
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020130024376A KR101889107B1 (ko) | 2012-03-07 | 2013-03-07 | 저 유전상수 손상을 감소시키도록 노출된 저 유전상수 표면에 SiOCl-함유 층을 형성하는 방법 |
Country Status (3)
Country | Link |
---|---|
US (1) | US8592327B2 (ko) |
KR (1) | KR101889107B1 (ko) |
TW (1) | TWI514516B (ko) |
Families Citing this family (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8871639B2 (en) * | 2013-01-04 | 2014-10-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
FR3013503B1 (fr) * | 2013-11-20 | 2015-12-18 | Commissariat Energie Atomique | Procede de gravure selective d’un masque dispose sur un substrat silicie |
US9171736B2 (en) | 2014-03-03 | 2015-10-27 | Tokyo Electron Limited | Spacer material modification to improve K-value and etch properties |
CN104966694B (zh) * | 2015-06-29 | 2018-01-26 | 上海集成电路研发中心有限公司 | 一种双大马士革集成工艺方法 |
CN109804459B (zh) * | 2016-09-06 | 2023-08-04 | 东京毅力科创株式会社 | 准原子层蚀刻方法 |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
TWI769291B (zh) * | 2017-08-11 | 2022-07-01 | 日商東京威力科創股份有限公司 | 使用鹵素去活化之選擇性膜沉積 |
JP7195113B2 (ja) * | 2018-11-07 | 2022-12-23 | 東京エレクトロン株式会社 | 処理方法及び基板処理装置 |
US10832950B2 (en) | 2019-02-07 | 2020-11-10 | International Business Machines Corporation | Interconnect with high quality ultra-low-k dielectric |
CN112786525B (zh) * | 2019-11-07 | 2023-07-07 | 长鑫存储技术有限公司 | 半导体器件及其形成方法 |
KR20210089878A (ko) | 2020-01-09 | 2021-07-19 | 삼성전자주식회사 | 미세 패턴의 절단 방법, 이를 이용한 액티브 패턴들의 형성 방법, 및 이를 이용한 반도체 장치의 제조 방법 |
US11837618B1 (en) | 2020-08-21 | 2023-12-05 | Samsung Electronics Co., Ltd. | Image sensor including a protective layer |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2011129456A1 (en) | 2010-04-16 | 2011-10-20 | Semiconductor Energy Laboratory Co., Ltd. | Deposition method and method for manufacturing semiconductor device |
Family Cites Families (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6207544B1 (en) | 1998-12-09 | 2001-03-27 | Advanced Micro Devices, Inc. | Method of fabricating ultra thin nitride spacers and device incorporating same |
US6242334B1 (en) | 1999-03-23 | 2001-06-05 | United Microelectronics Corp. | Multi-step spacer formation of semiconductor devices |
US6582891B1 (en) | 1999-12-02 | 2003-06-24 | Axcelis Technologies, Inc. | Process for reducing edge roughness in patterned photoresist |
US6277700B1 (en) | 2000-01-11 | 2001-08-21 | Chartered Semiconductor Manufacturing Ltd. | High selective nitride spacer etch with high ratio of spacer width to deposited nitride thickness |
US6261913B1 (en) | 2000-08-23 | 2001-07-17 | Micron Technology, Inc. | Method for using thin spacers and oxidation in gate oxides |
US6756313B2 (en) | 2002-05-02 | 2004-06-29 | Jinhan Choi | Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber |
US6838396B2 (en) | 2003-03-28 | 2005-01-04 | International Business Machines Corporation | Bilayer ultra-thin gate dielectric and process for semiconductor metal contamination reduction |
US7553769B2 (en) | 2003-10-10 | 2009-06-30 | Tokyo Electron Limited | Method for treating a dielectric film |
DE10355575B4 (de) | 2003-11-28 | 2010-01-07 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität |
US7071117B2 (en) | 2004-02-27 | 2006-07-04 | Micron Technology, Inc. | Semiconductor devices and methods for depositing a dielectric film |
US20060115981A1 (en) | 2004-12-01 | 2006-06-01 | Jyu-Horng Shieh | Forming a dual damascene structure without ashing-damaged ultra-low-k intermetal dielectric |
US7695632B2 (en) * | 2005-05-31 | 2010-04-13 | Lam Research Corporation | Critical dimension reduction and roughness control |
US7279427B2 (en) * | 2005-08-03 | 2007-10-09 | Tokyo Electron, Ltd. | Damage-free ashing process and system for post low-k etch |
US7393788B2 (en) | 2006-02-10 | 2008-07-01 | Cook Julie A | Method and system for selectively etching a dielectric material relative to silicon |
US7795148B2 (en) | 2006-03-28 | 2010-09-14 | Tokyo Electron Limited | Method for removing damaged dielectric material |
US7723237B2 (en) | 2006-12-15 | 2010-05-25 | Tokyo Electron Limited | Method for selective removal of damaged multi-stack bilayer films |
US7741224B2 (en) | 2007-07-11 | 2010-06-22 | Texas Instruments Incorporated | Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics |
US8354347B2 (en) | 2007-12-11 | 2013-01-15 | Globalfoundries Singapore Pte. Ltd. | Method of forming high-k dielectric stop layer for contact hole opening |
US8815745B2 (en) * | 2008-02-01 | 2014-08-26 | Lam Research Corporation | Reducing damage to low-K materials during photoresist stripping |
US8124537B2 (en) * | 2008-02-12 | 2012-02-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for etching integrated circuit structure |
US20090286402A1 (en) * | 2008-05-13 | 2009-11-19 | Applied Materials, Inc | Method for critical dimension shrink using conformal pecvd films |
US20100062592A1 (en) | 2008-09-09 | 2010-03-11 | Tokyo Electron Limited | Method for forming gate spacers for semiconductor devices |
KR20120092184A (ko) | 2009-12-07 | 2012-08-20 | 어플라이드 머티어리얼스, 인코포레이티드 | 도핑된 영역을 세정하고 도핑된 영역 위에 음으로 대전된 패시베이션 층을 형성하는 방법 |
US20120064713A1 (en) * | 2010-09-10 | 2012-03-15 | Tokyo Electron Limited | Ultra-low-k dual damascene structure and method of fabricating |
US8741775B2 (en) * | 2011-07-20 | 2014-06-03 | Applied Materials, Inc. | Method of patterning a low-K dielectric film |
-
2012
- 2012-03-07 US US13/413,878 patent/US8592327B2/en active Active
-
2013
- 2013-03-07 KR KR1020130024376A patent/KR101889107B1/ko active IP Right Grant
- 2013-03-07 TW TW102108086A patent/TWI514516B/zh active
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2011129456A1 (en) | 2010-04-16 | 2011-10-20 | Semiconductor Energy Laboratory Co., Ltd. | Deposition method and method for manufacturing semiconductor device |
Also Published As
Publication number | Publication date |
---|---|
TWI514516B (zh) | 2015-12-21 |
KR20130102504A (ko) | 2013-09-17 |
TW201401435A (zh) | 2014-01-01 |
US20130237060A1 (en) | 2013-09-12 |
US8592327B2 (en) | 2013-11-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101889107B1 (ko) | 저 유전상수 손상을 감소시키도록 노출된 저 유전상수 표면에 SiOCl-함유 층을 형성하는 방법 | |
KR101683405B1 (ko) | 에칭 및 애싱 동안의 로우-k 재료의 측벽 보호 | |
KR101896724B1 (ko) | 배선 패터닝을 위한 하드 마스크 제거 중의 측벽 및 챔퍼 보호 | |
KR101887723B1 (ko) | 다층 마스크에서의 패턴의 cd 및 무결성을 제어하기 위한 에칭 프로세스 | |
US8809196B2 (en) | Method of etching a thin film using pressure modulation | |
KR101644732B1 (ko) | Finfet 방식용 게이트 스페이서 프로파일, 핀 손실 및 하드 마스크 손실 개선을 위한 종횡비 종속 성막 | |
KR101569938B1 (ko) | 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법 | |
US8252192B2 (en) | Method of pattern etching a dielectric film while removing a mask layer | |
US7998872B2 (en) | Method for etching a silicon-containing ARC layer to reduce roughness and CD | |
KR101688231B1 (ko) | Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법 | |
US7858270B2 (en) | Method for etching using a multi-layer mask | |
KR20030087637A (ko) | 유기계 절연막의 에칭 방법 및 이중 상감 방법 | |
US7622390B2 (en) | Method for treating a dielectric film to reduce damage | |
US20090246713A1 (en) | Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias | |
JP2007508697A (ja) | 一酸化二窒素を使用したエッチバック方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant |