TW201401435A - 形成外露式低K表面上之含SiOCl的層以減少低K損傷 - Google Patents

形成外露式低K表面上之含SiOCl的層以減少低K損傷 Download PDF

Info

Publication number
TW201401435A
TW201401435A TW102108086A TW102108086A TW201401435A TW 201401435 A TW201401435 A TW 201401435A TW 102108086 A TW102108086 A TW 102108086A TW 102108086 A TW102108086 A TW 102108086A TW 201401435 A TW201401435 A TW 201401435A
Authority
TW
Taiwan
Prior art keywords
layer
low
siocl
protecting
surface according
Prior art date
Application number
TW102108086A
Other languages
English (en)
Other versions
TWI514516B (zh
Inventor
Alok Ranjan
Kaushik Kumar
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201401435A publication Critical patent/TW201401435A/zh
Application granted granted Critical
Publication of TWI514516B publication Critical patent/TWI514516B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Abstract

本發明描述一種用於保護外露式低k表面的方法。該方法包括接收一基板,其具有一遮罩層及一低k層形成於其上,其中利用一微影處理形成於遮罩層的一圖案已利用一蝕刻處理轉移到低k層,以在其中形成一結構特徵部。此外,該方法包括在該遮罩層及該低k層的外露表面形成一含SiOCl層,並且由該遮罩層的一頂部表面及該低k層中該結構特徵部的一底部表面各向異性地除去該含SiOCl層,同時保留該結構特徵部的側壁表面上之該含SiOCl層的一剩餘部分。該方法更包括實行一灰化處理以除去該遮罩層,以及然後,由該結構特徵部的該側壁表面選擇性地除去該含SiOCl層的該剩餘部分。

Description

形成外露式低K表面上之含SiOCl的層以減少低K損傷
本發明係關於一種減輕對低介電常數(低k)材料損傷的方法。
在用於金屬互連的絕緣層堆疊中,低k材料的實際施行面臨嚴峻的挑戰。最終,期望在金屬互連中整合低k介電材料而達到降低介電常數之全部益處,同時產生一具有最小損傷的結構上堅固、圖案化的絕緣層。隨著低k損傷累積,它以較差的性能及低劣的可靠性體現於金屬互連中。
本發明的實施例係關於一種減輕對低介電常數(低k)材料損傷的方法。
根據一實施例,描述一種用於保護外露式低k表面的方法。該方法包括:接收一基板,其具有一遮罩層及一低k層形成於其上,其中利用一微影處理形成於遮罩層的一圖案已利用一蝕刻處理轉移到低k層,以在其中形成一結構特徵部。此外,該方法包括在遮罩層及低k層的外露表面形成一含SiOCl層,並且由遮罩層的一頂部表面及低k層中結構特徵部的一底部表面各向異性地除去含SiOCl層,同時保留結構特徵部的側壁層表面上之含SiOCl層的一剩餘部分。該方法進一步包括實行一灰化處理以除去遮罩層,以及然後,由結構特徵部的側壁層表面選擇性地除去含SiOCl層的剩餘部分。
100‧‧‧圖案
110‧‧‧基板
120‧‧‧低k層
122‧‧‧初始損傷
124‧‧‧額外損傷
130‧‧‧遮罩層
200‧‧‧結構特徵部
210‧‧‧基板
212‧‧‧底部表面
220‧‧‧低k層
222‧‧‧側壁表面
230‧‧‧遮罩層
232‧‧‧頂部表面
240‧‧‧含SiOCl層
300‧‧‧流程圖
400‧‧‧結構特徵部
410‧‧‧基板
420‧‧‧低k層
430‧‧‧遮罩層
440A‧‧‧含SiOCl層
440B‧‧‧殘留SiOCl層
440C‧‧‧額外的含SiOCl層
440D‧‧‧額外的殘留SiOCl層
500‧‧‧電漿處理系統
510‧‧‧電漿處理腔室
520‧‧‧基板座
522‧‧‧電極
525‧‧‧基板
526‧‧‧背面氣體供給系統
528‧‧‧夾持系統
530‧‧‧射頻產生器
531‧‧‧脈衝式偏壓信號控制器
532‧‧‧阻抗匹配網路
540‧‧‧氣體分配系統
545‧‧‧電漿處理區
550‧‧‧抽真空系統
555‧‧‧控制器
600‧‧‧電漿處理系統
660‧‧‧磁場系統
700‧‧‧電漿處理系統
770‧‧‧上部電極
772‧‧‧射頻產生器
774‧‧‧阻抗匹配網路
800‧‧‧電漿處理系統
890‧‧‧直流電源
900‧‧‧電漿處理系統
980‧‧‧至感應線圈
982‧‧‧射頻產生器
984‧‧‧阻抗匹配網路
1000‧‧‧電漿處理系統
1080‧‧‧感應線圈
1100‧‧‧電漿處理系統
1130‧‧‧表面波電漿源
1190‧‧‧功率耦合系統
附圖中:圖1A至1C為一示意性表示,說明一種在一低k層形成圖案之習知方法。
圖2A至2E為一示意性表示,說明一種根據一實施例在一低k層形成圖案,同時保護一外露式低k表面之方法。
圖3提供一流程圖,說明一種根據一實施例保護一外露式低k表面之方法。
圖4A至4F為一示意性表示,說明一種根據另一實施例在一低k層形成圖案,同時保護一外露式低k表面之方法。
圖5顯示一種根據一實施例之電漿處理系統的示意性表示。
圖6顯示一種根據另一實施例之電漿處理系統的示意性表示。
圖7顯示一種根據另一實施例之電漿處理系統的示意性表示。
圖8顯示一種根據另一實施例之電漿處理系統的示意性表示。
圖9顯示一種根據另一實施例之電漿處理系統的示意性表示。
圖10顯示一種根據另一實施例之電漿處理系統的示意性表示。
圖11為一示意性表示,說明根據另一實施例之一電漿處理系統。
在下面的描述中,為了解釋而非限制的目的,闡述具體的細節,比如一種處理系統的特定幾何形狀,其中所用的各種組件和處理的描述。然而,應該理解,本發明可以在脫離這些具體細節的其它實施例中實施。
同樣地,在此為了解釋的目的而闡述具體的數字、材料和配置以提供對本發明的透徹理解。然而,本發明沒有具體細節也可以實施。此外,應當理解,圖中所顯示的各種實施例是說明性的表示,並且不一定按比例繪製。
各種操作將以一最有助於理解本發明的方式,描述為多個分立按順序的操作。然而,描述的順序不應該被推斷為暗示這些操作必須取決於順序。特別是,這些操作不需要以呈現的順序來實行。所描述的操作可能會以一不同於所描述實施例的順序實行。在額外的實施例中可以實行各種額外的操作及/或省略所描述的操作。
本文所用的“基板”通常是指根據本發明被處理的物件。基板可包括一元件的任何重要部分或結構,特別是一半導體或其他電子元件,並且可以例如為一基底基板結構,如一半導體晶圓或在一基底基板上的一層或覆蓋在一基底基板上方的一層,如一薄膜。因此,基板並不意欲限制於任何特定的基底結構、位於下方的層或覆蓋的層、圖案化或未圖案化,而是設想包括任何這樣的層或基底結構,以及層及/或基底結構的任何組合。以下的說明可參照特定類型的基板,但此僅為說明而非限制之目的。
如上所指明在半導體製造中,當製造用於金屬互連的絕緣層堆疊時,低k材料的整合帶來了許多挑戰。例如,圖1A提供一種在一低k層形成圖案之習知方法的一形象化圖解。該方法由在一基板110上製備一低k層120開始。其後,製備一具有一圖案100形成於其中的遮罩層130覆蓋於低k層120上。圖案100可以包括一通孔圖案或一溝槽圖案。
如圖1B所說明,可實行一蝕刻處理以轉移圖案100進入及/或通過低k層120。低k層120暴露於蝕刻化學品(如,電漿化學品),可能會導致對低k層120的初始損傷122,特別是沿著低k層120中形成之圖案的側壁。此外,如圖1C所說明,當遮罩層130的剩餘部分透過一灰化處理除去時,低k層120的暴露部分遭受額外損傷124。
當遮罩層130包含如光阻的有機材料時,灰化處理通常使用 一含氧化學品,如一含氧電漿,以除去有機材料。在這種情況下,含氧化學品可能導致低k層120中的碳,以及甲基(即,CH3)耗盡。低k層120的去甲基化在含SiCOH層中特別明顯。其結果是,被這些處理損傷的低k層120便會遭受介電常數增加、通過低k層120的漏電增加,及親水性增加。
因此,根據各種實施例,此處描述一種保護外露式低k表面 以減少損傷的方法。該方法於圖2A至2E形象化地說明,並且在圖3中經由一流程圖300呈現。如在圖2A中說明並在圖3中呈現,流程圖300開始於步驟310,接收一基板210,其具有一遮罩層230及一低k層220形成於其上,其中利用一微影處理形成於遮罩層230的一圖案已利用一蝕刻處理轉移到低k層220,以在其中形成一結構特徵部200。結構特徵部200可包括一通孔、一溝槽或線、或一使用任何種類之習知技術製備的溝槽-通孔結構,習知技術包括但不限於一單鑲嵌方案、一雙鑲嵌方案、一先溝槽金屬硬質遮罩(TFMHM)圖形化方案、一先通孔後溝槽圖形化方案等。
基板210可包括一塊體矽基板、一單晶矽(摻雜或不摻雜)基 板、一絕緣體上半導體(SOI)基板、或任何其他半導體基板,其包含例如矽、碳化矽、矽鍺、矽鍺碳、鍺、砷化鎵、砷化銦、磷化銦,以及其它III/V族或II/VI族化合物半導體,或它們的任意組合(I、III、V、VI族是指在元素週期表中古典或舊的國際純化學與應用化學協會表示法;根據經修訂或新國際純化學與應用化學協會表示法,這些族將分別指2、13、15、16族)。基板可以是任何尺寸,例如200mm(毫米)基板、300mm的基板、450mm基板,或更大的基板。
低k層220可包括一低介電常數(即低k)或超低介電常數(即超 低k)介電質層,具有一小於二氧化矽之介電常數的標稱介電常數值,二氧化矽之介電常數大約為4(例如,熱二氧化矽的介電常數的範圍可以從3.8到3.9)。更具體地,低k層220可具有一小於3.7的介電常數、或一小於2.5的介電常數、或範圍從1.6到3.7的介電常數。低k層220可為多孔或非多孔。
例如,低k層220可包括一含SiCOH材料。另外,例如,低k 層220可包括一多孔無機-有機混合膜,其由一單相、如一基於氧化矽的間質所組成,間質具有CH3鍵,其在一固化或沉積處理期間妨礙膜的完全緻密 化以創造小空穴(或孔)。又或者,例如,低k層220可包括一多孔無機-有機混合膜,其由至少雙相、如一碳摻雜基於氧化矽的間質所組成,間質具有有機材料(例如,致孔劑)的孔,其在一固化處理期間分解並蒸發。
低k層220可以使用一氣相沉積技術形成,如化學氣相沉積 (CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、電漿增強原子層沉積(PEALD)、物理氣相沉積(PVD),或離子化物理氣相沉積(iPVD),或一旋塗技術,如商業上可從東京威力科創(TEL)獲得之Clean Track ACT 8 SOD(旋塗式介電質)、ACT 12 SOD,及Lithius塗佈系統中所提供者。Clean Track ACT 8(200mm)、ACT 12 SOD(300mm)和Lithius(300mm)塗佈系統為旋塗式介電質材料提供塗佈、烘烤以及固化工具。自動化光阻塗佈及顯影系統可以配置成用於處理100mm、200mm、300mm、和更大的基板尺寸。在一基板上形成一薄膜的其它系統和方法對於熟知旋塗技術和氣相沉積技術領域的人員是眾所周知的。
遮罩層230可包含一例如光阻的輻射敏感材料層。光阻可包 含248nm(奈米)光阻、193nm光阻、157nm光阻、EUV(極紫外)光阻,或電子束敏感光阻。光阻可以使用自動化光阻塗佈及顯影系統來形成。例如,自動化光阻塗佈及顯影系統可以包含一商業上可從東京威力科創(TEL)獲得之Clean Track ACT 8、ACT 12或Lithius光阻塗佈及顯影系統。在一基板上形成一光阻層的其它系統和方法對於熟知光阻旋塗技術領域的人員是眾所周知的。
另外,遮罩層230可包括一防反射被覆(ARC)層,例如一含 矽防反射被覆層,商業上可從Shin Etsu化學有限公司以Sepr-Shb Aseries SiARC獲得。可選的防反射被覆層例如可使用旋塗技術或一氣相沉積處理塗敷。
此外,遮罩層230可包括一有機平坦化層(OPL)或有機介電 質層(ODL)。該有機平坦化層或者有機介電質層可包括一光敏感有機聚合物或一蝕刻型有機化合物。比如,光敏感有機聚合物可為聚丙烯酸樹脂、環氧樹脂、酚醛樹脂、聚醯胺樹脂、聚醯亞胺樹脂、不飽和聚酯樹脂、聚苯醚樹脂、聚苯硫醚樹脂,或苯環丁烯(BCB)。這些材料可使用旋塗技術或氣相沉積技術形成。
另外,遮罩層230可包括一硬質遮罩層。硬質遮罩層可包括一金屬或含金屬的材料。此外,硬質遮罩層可包括一含矽材料或含碳材料。例如,含矽或含碳材料可包括氧化矽(SixOy)、氮化矽(SixNy)、氮氧化矽(SixOyNz)、碳氧化矽(SixOyCz)、或碳(類金剛石碳(DLC)、非晶形碳(a-C)或石墨)等全部一起或它們的任意組合。
圖案可以使用一微影處理及可選地蝕刻步驟之順序形成於遮罩層230中。一旦製備完成,圖案(或複數個製備圖案的系列)可使用例如一或多個電漿蝕刻處理的一或多個蝕刻處理,而轉移到位於下方的薄膜,即低k層220。
如圖2B所說明,在圖3的步驟320中,一含SiOCl層240在遮罩層230及形成於低k層220中之結構特徵部200的外露表面上形成。含SiOCl層240含有矽、氧和氯。含SiOCl層240可藉由在一含矽、氧和氯的環境中實行一氣相沉積處理形成。
在一實施例中,含SiOCl層240藉由實行一電漿輔助沉積處理形成,電漿輔助沉積處理包括使用一成膜處理組成物產生電漿,成膜處理組成物包含四氯化矽及氧作為起始成分。雖然成膜處理組成物包括四氯化矽及氧,也可設想其他含氯及含氧氣體或蒸氣。例如,成膜處理組成物可包括矽烷(SiH4)、含氯氣體(例如氯氣、氯化氫等),以及含氧氣體(例如氧氣)作為起始成分。
為在電漿輔助沉積處理中形成電漿,成膜處理組成物的成分應選擇在大氣壓力及/或真空壓力下單獨或與一載氣(例如,一稀有氣體元素或氮)以氣相及/或蒸汽相存在者。
電漿輔助沉積處理中可不包括對上置有基板210之基板座施加一射頻(RF)偏壓。基板210的溫度範圍可從約攝氏0度到約攝氏100度。此外,當形成含SiOCl層240時,可調整電漿輔助沉積處理中至少一處理參數以增加含SiOCl層對後續灰化處理(用於除去遮罩層230)的耐蝕刻性。
在另一實施例中,含SiOCl層240係藉由將基板210暴露於四氯化矽及水,並加熱基板210來形成。基板210的溫度範圍可從約攝氏30度到約攝氏100度。
如圖2C所說明,在圖3的步驟330中,含SiOCl層240由遮罩 層230的一頂部表面232及在低k層220中之結構特徵部200的一底部表面212各向異性地移除,同時保留位於結構特徵部200的側壁表面222上之含SiOCl層240的一剩餘部分。可使用一或多個蝕刻處理實行由遮罩層230的頂部表面232及由在低k層220中之結構特徵部200的底部表面212移除含SiOCl層240。該一或多個蝕刻處理可包括乾式電漿蝕刻處理或乾式非電漿蝕刻處理。
在一實施例中,乾式電漿蝕刻處理包括一各向異性電漿蝕刻 處理。各向異性電漿蝕刻處理可包括由一含有碳和氟的蝕刻處理組成物來形成電漿。例如蝕刻處理組成物可包括一氟碳化合物(即CxFy,其中x和y等於1或較大)。
此外,蝕刻處理組成物可例如包括一鹵甲烷氣體。鹵甲烷氣 體可包括一單取代的鹵甲烷(例如CH3F)、雙取代的鹵甲烷(例如CH2F2)、三取代的鹵甲烷(例如CHF3),或四取代的鹵甲烷(例如,CF4)。
此外,蝕刻處理組成物可例如包括一碳氫化合物(即,CxHy 時,其中x和y等於1或較大)。或者,蝕刻處理組成物可例如含有一添加劑氣體,其具有化學式CxHyRz,其中R是一鹵族元素,x和y等於一或較大,以及z等於零或較大。
此外,蝕刻處理組成物可例如包括稀有氣體。蝕刻處理組成 物可包括含氧氣體、含氫氣體、含氮氣體、或含碳氣體,或其兩個或兩個以上的任何組合。例如,蝕刻處理組成物可含有氫、氧、氮、一氧化碳、二氧化碳、氨、氧化氮、一氧化二氮、二氧化氮,或其兩個或兩個以上的任何組合。蝕刻處理組成物可進一步包括含氟氣體、含氯氣體、含溴氣體或鹵化物氣體。例如,蝕刻處理組成物可含有溴化氫、氟、氯、溴、三氯化硼、三氟化氮,或六氟化硫。
在一實施例中,各向異性電漿蝕刻處理的蝕刻處理組成物可 包括稀有氣體以及由CF4、C4F6、C4F8和C5F8構成的群中選出的一或多種氣體。在另一個實施例中,用於各向異性電漿蝕刻處理的蝕刻處理組成物可包括CF4和氬。
該各向異性電漿蝕刻處理可包括準備一蝕刻處理配方。該蝕 刻處理配方可包括一或多個處理條件,其由一或多個處理參數定義。藉由 設定一或多個處理參數可建立一或多個處理條件,比如:設定蝕刻處理組成物每一成分的流量;設定電漿處理系統中的壓力;為施加於一下部電極的一第一射頻信號設定一第一射頻功率位準,下部電極位於一用於支撐並電性偏壓基板的基板座內;為施加於下部電極或一源天線或上部電極的一第二射頻信號設定一第二射頻功率位準,源天線或上部電極在基板之上而與下部電極相對;為電漿處理系統設定一溫度條件;為基板或基板座設定一溫度條件;設定一蝕刻時間;及/或設定一過蝕刻時間。在各向異性蝕刻處理期間,可以改變任何一處理參數。
該各向異性電漿蝕刻處理可包括對上置有基板210之基板座 施加一射頻(RF)偏壓。基板210的溫度範圍可以從大約攝氏0度到約攝氏度100度。此外,當實行各向異性電漿蝕刻處理時,可調整各向異性電漿蝕刻處理中至少一處理參數以控制結構特徵部200的臨界尺寸(CD)、結構特徵部200的側壁外形等。
在另一實施例中,可實行一過蝕刻處理。
如圖2D所說明,在圖3的步驟340中,實行一灰化處理以除去遮罩層230的至少一部分。灰化處理可包括一或多個灰化步驟。
在一實施例中,灰化處理可包括一電漿灰化處理。例如,電漿灰化處理可包括使用一含有氧、氮、氫或其兩個或兩個以上的任何組合的處理組成物產生電漿。在另一實施例中,灰化處理可包括將基板210暴露於一含氧環境中。含氧環境可包括單原子氧(O)、雙原子氧氣(O2)、三原子氧(臭氧,O3)、含氧分子、離子化氧、亞穩態氧氣、或任何氧的激發態,或其兩個或兩個以上的任何組合。例如,含氧環境可包括氧原子、氧分子、臭氧、一氧化碳、二氧化碳、氧化氮、一氧化二氮、二氧化氮,或其兩個或兩個以上的任何組合。另外,例如,含氧環境可包括氧分子。
如圖2E所說明,在圖3的步驟350中,實行灰化處理後,含SiOCl層240的剩餘部分選擇性地由結構特徵部200的側壁表面222移除。在一實施例中,含SiOCl層240的剩餘部分由結構特徵部200的側壁表面222的選擇性移除可藉由實行一濕式清洗處理達成。例如,濕式清洗處理可包括將含SiOCl層240的剩餘部分浸入一HF溶液中,如稀釋的HF水溶液。
在一實施例中,用於形成含SiOCl層的沉積處理、各向異性 電漿蝕刻處理、以及灰化處理,都在相同的電漿處理系統中實行。在一替代實施例中,用於形成含SiOCl層的沉積處理、各向異性電漿蝕刻處理以及灰化處理,均在分開的電漿處理系統中實行。
在另一實施例中,含SiOCl層的形成、各向異性電漿蝕刻處 理以及灰化處理中的至少兩者乃重複多個循環,例如兩個或更多的循環,直到結構特徵部200的側壁表面222得到適當的保護。
根據另一實施例,描述一種保護一外露式低k表面以減少損 傷的方法。該方法在圖4A至4F中形象化地說明。如圖4A所說明,接收一基板410,其具有一遮罩層430和一低k層420形成於其上,其中一圖案已經使用一微影處理及可選的一或多個蝕刻處理形成於遮罩層430。
如圖4B所說明,藉由使用一或多個蝕刻處理,圖案被部分 地由遮罩層430轉移到低k層420以在其中形成至少一結構特徵部400的初始階段。結構特徵部400可包括一通孔、一溝槽或線、或一使用任何種類之習知技術製備的溝槽-通孔結構,習知技術包括但不限於一單鑲嵌方案、一雙鑲嵌方案、一先溝槽金屬硬質遮罩(TFMHM)圖形化方案、一先通孔後溝槽圖形化方案等。
如圖4C所說明,一含SiOCl層440A形成於遮罩層430的外露 表面上以及部分地形成於低k層420中之結構特徵部400上。此後,如圖4D所說明,使用一或多個額外的蝕刻處理,結構特徵部400演變成更深入低k層420。如圖4D所顯示,在該一或多個額外的蝕刻處理期間,含SiOCl層440A可至少部分地由遮罩層430移除並且可能沿著在低k層420中之結構特徵部400的側壁變薄,以留下一殘留SiOCl層440B。然而,演變中的結構特徵部400之側壁上之殘留SiOCl層440B的存在可降低低k層420與該一或多個額外的蝕刻處理之蝕刻化學品(例如電漿化學品)的交互作用。
然後,如圖4E所說明,一額外的含SiOCl層440C在遮罩層430 的外露表面以及在部分地形成於低k層420中之結構特徵部400上形成。此後,如圖4F所說明,使用又一或多個額外的蝕刻處理,結構特徵部400演變成更深入低k層420。如圖4F所顯示,在該一或多個額外的蝕刻處理期間,含SiOCl層440C可至少部分地由遮罩層430移除並且可能沿著在低k層420中之結構特徵部400的側壁變薄,以留下一額外的殘留SiOCl層440D。在結構 特徵部400進入並穿過低k層420成形過程中實行的步驟順序可保護演變中的結構特徵部400之側壁,並且在結構特徵部的側壁暴露於蝕刻化學品時減少損傷。
當結構特徵部400在圖案化過程中演變時,圖4A至4F描述的 蝕刻-沉積-蝕刻-沉積(等)方案可用來保護低k層420的外露表面,因而限制低k層420與蝕刻化學品的交互作用。受限制的交互作用可減少低k層420的損傷。
根據上述各種實施例之一或多個形成含SiOCl層的沉積處 理、各向異性電漿處理以及灰化處理的方法可在圖5到11所說明的電漿處理系統的任何一個中實行,如下所述。
根據一實施例,圖5描述一配置為實行上面所確定的處理條 件之電漿處理系統500,其包含一電漿處理腔室510,一基板座520,一待處理的基板525固定於其上,以及一抽真空系統550。基板525可以是一半導體基板、一晶圓、一平板顯示器或一液晶顯示器。電漿處理腔室510可以配置成在基板525表面附近的電漿處理區域545中促進電漿的產生。經由一氣體分配系統540引入可電離氣體或處理氣體的混合物。對於一給定的處理氣體流量,使用抽真空系統550調整處理壓力。電漿可以用來產生對於一預定材料處理的特定材料,及/或幫助從基板525的外露表面去除材料。電漿處理系統500可以配置成處理任何所希望大小的基板,比如200mm基板、300mm基板或更大。
基板525可以經由一夾持系統528固定到基板座520上,例如 一機械性夾持系統或一電氣性夾持系統(例如,一靜電夾持系統)。此外,基板座520可包括一加熱系統(未示出)或一冷卻系統(圖中未示出),其配置係用以調整及/或控制基板座520和基板525的溫度。加熱系統或冷卻系統可包含傳熱流體的一再循環流而可在冷卻時自基板座520接收熱量並將熱量傳遞到一熱交換器系統(未示出),在加熱時,可將熱量由熱交換器系統傳遞到基板座520。在其它實施例中,如電阻加熱元件或熱電加熱器/冷卻器的加熱/冷卻元件可包括於基板座520、以及電漿處理腔室510的腔室壁和電漿處理系統500的任何組件中。
此外,一傳熱氣體可經由一背面氣體供給系統526運送到基 板525的背面,以改善基板525和基板座520之間的氣體間隙的熱傳導率。當基板的溫度控制需要升高或降低溫度時,可以利用這樣一個系統。例如,背面氣體供給系統可包含一雙區氣體分配系統,其中基板525中心和邊緣之間的氦氣間隙壓力可以獨立地變化。
在圖5中所顯示的實施例,基板座520可以包含一電極522, 射頻功率經由其耦合到在電漿處理區域545中的處理電漿。例如,基板座520可以藉由從一射頻產生器530經一可選的阻抗匹配網路532至基板座520之射頻功率傳輸而電性偏壓於一射頻電壓。該射頻電性偏壓可以用於加熱電子以形成並維持電漿。在此配置中,該系統可以作為一反應性離子蝕刻(RIE)反應器運作,其中腔室以及一上部氣體注入電極作為接地面。一典型的射頻偏壓頻率範圍可以從約0.1MHz到約100MHz。用於電漿處理的射頻系統對於熟知本技術領域的人員是眾所周知的。
此外,射頻電極522上的電性偏壓,其在一射頻電壓上,可 以用脈衝式偏壓信號控制器531使其脈衝化。例如,從射頻產生器530的射頻功率輸出可以在一關斷狀態和一導通狀態之間脈衝。
另外,射頻功率以多個頻率施加至基板座電極。此外,阻抗 匹配網路532可藉由減少反射功率改善至電漿處理腔室510中之電漿的射頻功率傳輸。阻抗匹配網路拓撲結構(例如L-型、π-型、T型等)及自動控制方法對於熟知本技術領域的人員是眾所周知的。
氣體分配系統540可包含一噴淋頭設計,用於引入處理氣體 的混合物。或者,氣體分配系統540可包含一多區域噴淋頭設計,用於引入處理氣體的混合物並調整基板525上方之處理氣體混合物的分佈。例如,多區域噴淋頭設計係配置成可相對於流至基板525上方之實質中央區域的處理氣體流量或組成,而調整流至基板525上方之實質周邊區域的處理氣體流或組成。
抽真空系統550可以包括一渦輪分子真空泵(TMP),其具備 一多達約每秒5000升(或更大)的泵送速度能力,以及一用於調節腔室壓力的閘式閥。在用於乾式電漿蝕刻的習知電漿處理系統中,可採用一每秒1000升至3000升的渦輪分子真空泵(TMP)。渦輪分子真空泵對於低壓處理是有用的,典型地小於約50mtorr。對於高壓處理(即大於約100mtorr),可以使用 一機械增壓泵以及乾式粗抽泵。此外,一用於監測腔室壓力的裝置(未示出)可以耦合到電漿處理腔室510。
控制器555包含一微處理器、記憶體以及一個數位輸入/輸出 埠,其能夠產生足以與電漿處理系統500進行通信並啟動至電漿處理系統500的輸入、以及監測電漿處理系統500的輸出之控制電壓。此外,控制器555可以耦合到射頻產生器530、脈衝式偏壓信號控制器531、阻抗匹配網路532、氣體分配系統540、抽真空系統550、以及基板加熱/冷卻系統(未示出)、背面氣體供給系統526、及/或靜電夾持系統528,並且與彼等交換信息。例如,儲存於記憶體的一程式可以用來根據一處理配方啟動至電漿處理系統500之前述組件的輸入,以在基板525上實行一例如電漿蝕刻處理的電漿輔助處理。
控制器555可以相對於電漿處理系統500位於本地,或者它可 以相對於電漿處理系統500而位於遠處。例如,控制器555可以使用一直接連線、一內部網路,及/或網際網路與電漿處理系統500交換資料。控制器555可以於例如一客戶站點(即,一元件製造商,等)耦合至一內部網,或者它可以於,例如,一供應商站點(即,一設備製造商)耦合至一內部網。可替換地或附加地,控制器555可以耦合至網際網路。此外,另一計算機(例如控制器、伺服器等)可以經由一直接連線、一內部網、及/或網際網路存取控制器555以交換資料。
在圖6所顯示的實施例中,電漿處理系統600可以類似於圖5 的實施例,除了包含參照圖5所描述的那些組件,而且進一步包含一靜止的、或機械或電氣旋轉磁場系統660,以潛在地增加電漿密度及/或改善電漿處理的均勻性。此外,控制器555可以耦合至磁場系統660以調節旋轉速度和磁場強度。旋轉磁場的設計和實施對於熟知本技術領域的人員是眾所周知的。
在圖7所顯示的實施例中,電漿處理系統700可以類似於圖5 或圖6的實施例,而且可以進一步包括一上部電極770,射頻功率可以由射頻產生器772經由可選的阻抗匹配網路774耦合至上部電極770。一施加於上部電極之射頻功率的頻率範圍可以從約0.1MHz到約200MHz。此外,一施加於下部電極之射頻功率的頻率範圍可以從約0.1MHz到約100MHz。此 外,控制器555耦合至射頻產生器772及阻抗匹配網路774以控制施用於上部電極770的射頻功率。上部電極的設計和實施對於熟知本技術領域的人員是眾所周知的。上部電極770和氣體分配系統540可以設計成在相同的腔室組件中,如圖所示。或者,上部電極770可以包含一多區域電極設計,用於調整耦合至基板525上方之電漿的射頻功率分佈。例如,上部電極770可分割成一中心電極及一邊緣電極。
在圖8所顯示的實施例中,電漿處理系統800可以類似於圖7 的實施例,而且可以進一步包含耦合至相對於基板525之上部電極770的一直流(DC)電源890。上部電極770可包含一電極板。該電極板可包含一含矽電極板。此外,該電極板可包含一摻雜的矽電極板。直流電源890可以包括一可變直流電源。此外,直流電源890可以包括一雙極性直流電源。直流電源890可以進一步包括一系統,其配置係可實施:監測、調整、或控制直流電源890之極性、電流、電壓、,或開/關狀態中的至少一項。一旦電漿形成,直流電源890促進形成一彈道電子束。一電氣濾波器(未示出)可用來自直流電源890去耦合射頻功率。
例如,由直流電源890施加於上部電極770的直流電壓範圍可 從約-2000伏(V)至約1000V。理想地,該直流電壓的絕對值有一等於或大於約100伏的值,以及更理想地,該直流電壓的絕對值有一等於或大於約500伏的值。此外,希望該直流電壓具有一負極性。此外,希望該直流電壓為一負電壓,其具有一絕對值大於在上部電極770的一表面產生的自偏壓電壓。上部電極770之面對基板座520的表面可由含矽材料組成。
在圖9所顯示的實施例中,電漿處理系統900可以類似於圖5和6的實施例,而且可以進一步包含一感應線圈980,射頻功率藉由射頻產生器982經過可選擇的阻抗匹配網路984耦合至感應線圈980。射頻功率由感應線圈980經過一介電窗(未示出)電感性地耦合至電漿處理區域545。一施加於感應線圈980之射頻功率的頻率範圍可以從約10MHz到約100MHz。同樣地,一施加於夾盤電極之功率的頻率範圍可以從約0.1MHz到約100MHz。此外,一開槽的法拉第屏罩(未示出)可以用來減少感應線圈980與在電漿處理區域545中的電漿之間的電容性耦合。此外,控制器555可以耦合至射頻產生器982及阻抗匹配網路984以控制施用於感應線圈980的功率。
在一替代實施例中,如圖10所顯示,電漿處理系統1000可以 類似於圖9的實施例,而且可以進一步包含一感應線圈1080,其為“螺旋形”線圈或“煎餅形”線圈,由上方與電漿處理區域545交流,如同在一變壓耦合電漿(TCP)反應器中一般。感應耦合電漿(ICP)源,或變壓耦合電漿(TCP)源的設計和實施對於熟知本技術領域的人員是眾所周知的。
可替代地,可以使用電子回旋加速器共振(ECR)形成電漿。 在又一實施例中,由一螺旋波的發射形成電漿。在又一實施例中,從一傳播的表面波形成電漿。上述每個電漿源對於熟知本技術領域的人員是眾所周知的。
在圖11所顯示的實施例中,電漿處理系統1100可以類似於圖 5的實施例,而且可以進一步包含一表面波電漿(SWP)源1130。SWP源1130可以包含一槽孔天線,比如一徑向線槽孔天線(RLSA),微波功率通過一功率耦合系統1190耦合至天線。
雖然僅有本發明的某些實施例在上面詳細描述,但對於熟知 本技術領域的人員可容易理解,在實質上不脫離本發明的新穎性教導和優點,許多修改是可能的。因此,所有這樣的修改意欲被包括在本發明的範圍之內。
200‧‧‧結構特徵部
210‧‧‧基板
212‧‧‧底部表面
220‧‧‧低k層
222‧‧‧側壁表面
230‧‧‧遮罩層
232‧‧‧頂部表面
240‧‧‧含SiOCl層

Claims (20)

  1. 一種保護外露式低k表面的方法,包含以下步驟:接收一基板,其具有一遮罩層及一低k層形成於其上,其中利用一微影處理形成於該遮罩層的一圖案已利用一蝕刻處理轉移到該低k層,以在其中形成一結構特徵部;在該遮罩層及該低k層的外露表面形成一含SiOCl層;自該遮罩層的一頂部表面及該低k層中之該結構特徵部的一底部表面各向異性地除去該含SiOCl層,同時保留該結構特徵部的側壁表面上之該含SiOCl層的一剩餘部分;實行一灰化處理以除去該遮罩層的至少一部分;以及實行該灰化處理後,自該結構特徵部的該側壁表面選擇性地除去該含SiOCl層的該剩餘部分。
  2. 如申請專利範圍第1項之保護外露式低k表面的方法,其中該形成該含SiOCl層之步驟中,包含在一含矽、氯和氧的環境中實行一氣相沉積處理。
  3. 如申請專利範圍第1項之保護外露式低k表面的方法,其中該形成該含SiOCl層之步驟中,包含實行一電漿輔助沉積處理,該處理包括使用一處理組成物來產生電漿,該處理組成物包含四氯化矽及氧作為起始成分。
  4. 如申請專利範圍第3項之保護外露式低k表面的方法,其中該電漿輔助沉積處理不包括對上置有該基板之一基板座施加一射頻(RF)偏壓。
  5. 如申請專利範圍第3項之保護外露式低k表面的方法,其中該基板的溫度範圍可為約攝氏0度到約攝氏100度。
  6. 如申請專利範圍第3項之保護外露式低k表面的方法,其中該形成該含SiOCl層之步驟中,包含調整該電漿輔助沉積處理中之至少一處理參 數,以增加該含SiOCl層對該灰化處理的一耐蝕刻性。
  7. 如申請專利範圍第1項之保護外露式低k表面的方法,其中該形成該含SiOCl層之步驟中,包含將該基板暴露於四氯化矽及水,並加熱該基板。
  8. 如申請專利範圍第1項之保護外露式低k表面的方法,其中該各向異性地除去該含SiOCl層之步驟中,包含使用一各向異性電漿蝕刻處理,該處理包括使用一含有CxFy的氣體及一稀有氣體作為起始成分的一處理組成物來產生電漿。
  9. 如申請專利範圍第8項之保護外露式低k表面的方法,其中該各向異性電漿蝕刻處理包含對上置有該基板之一基板座施加一射頻(RF)偏壓。
  10. 如申請專利範圍第1項之保護外露式低k表面的方法,其中該灰化處理包含使用一含有氧、氮,或氫,或其兩種或兩種以上的任何組合的處理組成物來產生電漿。
  11. 如申請專利範圍第1項之保護外露式低k表面的方法,其中該自該結構特徵部的該側壁表面選擇性地除去該含SiOCl層的該剩餘部分的步驟包含實行一濕式清洗處理。
  12. 如申請專利範圍第11項之保護外露式低k表面的方法,其中該自該結構特徵部的該側壁表面選擇性地除去該含SiOCl層的該剩餘部分之步驟中,包含將該含SiOCl層的該剩餘部分浸入一稀釋HF水溶液。
  13. 如申請專利範圍第1項之保護外露式低k表面的方法,其中該結構特徵部包含一通孔、一溝槽或一溝槽-通孔結構。
  14. 如申請專利範圍第13項之保護外露式低k表面的方法,其中該溝槽-通孔結構係使用一先溝槽金屬硬質遮罩方案或一先通孔後溝槽方案形成。
  15. 如申請專利範圍第1項之保護外露式低k表面的方法,其中該遮罩層包括一輻射敏感材料層。
  16. 如申請專利範圍第15項之保護外露式低k表面的方法,其中該基板進一步包括一防反射被覆(ARC)層以及可選地一有機平坦化層(OPL),其設置於該輻射敏感材料層和該低k層之間。
  17. 如申請專利範圍第1項之保護外露式低k表面的方法,其中該低k層包含一小於4的介電常數。
  18. 如申請專利範圍第1項之保護外露式低k表面的方法,其中該低k層包含一小於2.5的介電常數。
  19. 如申請專利範圍第1項之保護外露式低k表面的方法,其中該低k層包含一多孔低k層或一非多孔低k層。
  20. 如申請專利範圍第1項之保護外露式低k表面的方法,其中該低k層包含一含SiCOH層。
TW102108086A 2012-03-07 2013-03-07 保護外露式低k表面的方法 TWI514516B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/413,878 US8592327B2 (en) 2012-03-07 2012-03-07 Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage

Publications (2)

Publication Number Publication Date
TW201401435A true TW201401435A (zh) 2014-01-01
TWI514516B TWI514516B (zh) 2015-12-21

Family

ID=49114495

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102108086A TWI514516B (zh) 2012-03-07 2013-03-07 保護外露式低k表面的方法

Country Status (3)

Country Link
US (1) US8592327B2 (zh)
KR (1) KR101889107B1 (zh)
TW (1) TWI514516B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110114863A (zh) * 2016-12-20 2019-08-09 朗姆研究公司 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法
CN112786525A (zh) * 2019-11-07 2021-05-11 长鑫存储技术有限公司 半导体器件及其形成方法
TWI769291B (zh) * 2017-08-11 2022-07-01 日商東京威力科創股份有限公司 使用鹵素去活化之選擇性膜沉積

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
FR3013503B1 (fr) * 2013-11-20 2015-12-18 Commissariat Energie Atomique Procede de gravure selective d’un masque dispose sur un substrat silicie
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
CN104966694B (zh) * 2015-06-29 2018-01-26 上海集成电路研发中心有限公司 一种双大马士革集成工艺方法
CN109804459B (zh) * 2016-09-06 2023-08-04 东京毅力科创株式会社 准原子层蚀刻方法
JP7195113B2 (ja) * 2018-11-07 2022-12-23 東京エレクトロン株式会社 処理方法及び基板処理装置
US10832950B2 (en) 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
KR20210089878A (ko) 2020-01-09 2021-07-19 삼성전자주식회사 미세 패턴의 절단 방법, 이를 이용한 액티브 패턴들의 형성 방법, 및 이를 이용한 반도체 장치의 제조 방법
US11837618B1 (en) 2020-08-21 2023-12-05 Samsung Electronics Co., Ltd. Image sensor including a protective layer

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6207544B1 (en) 1998-12-09 2001-03-27 Advanced Micro Devices, Inc. Method of fabricating ultra thin nitride spacers and device incorporating same
US6242334B1 (en) 1999-03-23 2001-06-05 United Microelectronics Corp. Multi-step spacer formation of semiconductor devices
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6277700B1 (en) 2000-01-11 2001-08-21 Chartered Semiconductor Manufacturing Ltd. High selective nitride spacer etch with high ratio of spacer width to deposited nitride thickness
US6261913B1 (en) 2000-08-23 2001-07-17 Micron Technology, Inc. Method for using thin spacers and oxidation in gate oxides
US6756313B2 (en) 2002-05-02 2004-06-29 Jinhan Choi Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber
US6838396B2 (en) 2003-03-28 2005-01-04 International Business Machines Corporation Bilayer ultra-thin gate dielectric and process for semiconductor metal contamination reduction
US7553769B2 (en) 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
DE10355575B4 (de) 2003-11-28 2010-01-07 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Seitenwandabstandselementen für ein Schaltungselement durch Erhöhen einer Ätzselektivität
US7071117B2 (en) 2004-02-27 2006-07-04 Micron Technology, Inc. Semiconductor devices and methods for depositing a dielectric film
US20060115981A1 (en) 2004-12-01 2006-06-01 Jyu-Horng Shieh Forming a dual damascene structure without ashing-damaged ultra-low-k intermetal dielectric
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7279427B2 (en) * 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
US7393788B2 (en) 2006-02-10 2008-07-01 Cook Julie A Method and system for selectively etching a dielectric material relative to silicon
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7723237B2 (en) 2006-12-15 2010-05-25 Tokyo Electron Limited Method for selective removal of damaged multi-stack bilayer films
US7741224B2 (en) 2007-07-11 2010-06-22 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US8354347B2 (en) 2007-12-11 2013-01-15 Globalfoundries Singapore Pte. Ltd. Method of forming high-k dielectric stop layer for contact hole opening
CN101925984A (zh) * 2008-02-01 2010-12-22 朗姆研究公司 减小光阻剥离过程中对低k材料的损害
US8124537B2 (en) * 2008-02-12 2012-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for etching integrated circuit structure
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US20100062592A1 (en) 2008-09-09 2010-03-11 Tokyo Electron Limited Method for forming gate spacers for semiconductor devices
CN102640301A (zh) 2009-12-07 2012-08-15 应用材料公司 在掺杂区上方清洁和形成带负电荷的钝化层的方法
CN102844847B (zh) * 2010-04-16 2015-09-23 株式会社半导体能源研究所 沉积方法及半导体装置的制造方法
US20120064713A1 (en) * 2010-09-10 2012-03-15 Tokyo Electron Limited Ultra-low-k dual damascene structure and method of fabricating
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110114863A (zh) * 2016-12-20 2019-08-09 朗姆研究公司 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法
CN110114863B (zh) * 2016-12-20 2024-04-16 朗姆研究公司 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法
TWI769291B (zh) * 2017-08-11 2022-07-01 日商東京威力科創股份有限公司 使用鹵素去活化之選擇性膜沉積
CN112786525A (zh) * 2019-11-07 2021-05-11 长鑫存储技术有限公司 半导体器件及其形成方法
CN112786525B (zh) * 2019-11-07 2023-07-07 长鑫存储技术有限公司 半导体器件及其形成方法

Also Published As

Publication number Publication date
KR20130102504A (ko) 2013-09-17
TWI514516B (zh) 2015-12-21
US8592327B2 (en) 2013-11-26
KR101889107B1 (ko) 2018-08-16
US20130237060A1 (en) 2013-09-12

Similar Documents

Publication Publication Date Title
TWI514516B (zh) 保護外露式低k表面的方法
KR101683405B1 (ko) 에칭 및 애싱 동안의 로우-k 재료의 측벽 보호
TWI495010B (zh) 用於互連圖案化之硬遮罩移除時之側壁及倒角保護
KR101887723B1 (ko) 다층 마스크에서의 패턴의 cd 및 무결성을 제어하기 위한 에칭 프로세스
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
US9287124B2 (en) Method of etching a boron doped carbon hardmask
KR100775175B1 (ko) 높은 어스펙트비의 반도체장치 에칭방법
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
TWI545646B (zh) 臨界尺寸偏差降低之含矽抗反射塗布層之蝕刻方法
JP5608920B2 (ja) 二酸化炭素/一酸化炭素に基づく処理を利用した基板アッシング方法
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
TWI514467B (zh) 形成間隔物側壁上之含SiOCl的層以預防間隔物蝕刻時之臨界尺寸損失
WO2002073674A1 (fr) Procede permettant de graver un film isolant organique et procede double damascene
KR20150016498A (ko) 플라즈마 에칭 방법
TWI488235B (zh) 全金屬閘極結構之圖案成形方法
JP2007508698A (ja) 有機ケイ酸塩ガラスについての一酸化二窒素剥脱方法
KR20230008099A (ko) 레지스트 기저층 도포를 위한 탄화규소 필름의 건식 에칭 방법
TW202044336A (zh) 基板處理方法及基板處理裝置