KR20230008099A - 레지스트 기저층 도포를 위한 탄화규소 필름의 건식 에칭 방법 - Google Patents

레지스트 기저층 도포를 위한 탄화규소 필름의 건식 에칭 방법 Download PDF

Info

Publication number
KR20230008099A
KR20230008099A KR1020227039817A KR20227039817A KR20230008099A KR 20230008099 A KR20230008099 A KR 20230008099A KR 1020227039817 A KR1020227039817 A KR 1020227039817A KR 20227039817 A KR20227039817 A KR 20227039817A KR 20230008099 A KR20230008099 A KR 20230008099A
Authority
KR
South Korea
Prior art keywords
gas
etching
substrate
silicon carbide
plasma
Prior art date
Application number
KR1020227039817A
Other languages
English (en)
Inventor
안젤리크 레일리
크리스토퍼 콜
퀴아오웨이 루
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20230008099A publication Critical patent/KR20230008099A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Abstract

레지스트 기저층 도포를 위한 탄화규소 필름을 에칭하는 기판 처리 방법이 설명된다. 이 방법은, 기판 상의 탄화규소 필름 및 탄화규소 필름 위의 패턴을 정의하는 포토레지스트층을 함유하는 상기 기판을 제공하는 단계, 플루오로카본 함유 가스와 산소 함유 가스를 함유하는 에칭 가스를 플라즈마-여기하는 단계, 및 기판을 플라즈마-여기된 에칭 가스에 노출하여 패턴을 탄화규소 필름으로 전사하는 노출 단계를 포함하고, 포토레지스토층의 두께의 적어도 일부는 노출 후에 남는다. 예를 들어, 포토레지스트층은 EUV 레지스트층을 포함하고, 에칭 가스는 C4F8 가스, O2 가스, 및 Ar 가스를 포함한다. 다른 일례로, 노출 단계는, a) 기판을 C4F8 가스, O2 가스, 및 Ar 가스를 함유하는 플라즈마-여기된 에칭 가스에 노출하는 단계, 및 b) 기판을 플라즈마-여기된 Ar 가스에 노출하는 단계를 포함하고, a) 단계와 상기 b) 단계는 적어도 한번 순차적으로 수행된다.

Description

레지스트 기저층 도포를 위한 탄화규소 필름의 건식 에칭 방법
관련 출원에 대한 상호 참조
본원은 2020년 5월 13일에 출원한 미국 가특허출원 제63/024,273호에 대한 우선권을 주장하며, 이 우선권의 개시는 전문이 본원에 참고로 원용된다.
본 발명은, 반도체 처리에 관한 것으로서, 더욱 구체적으로는 레지스트 기저층 도포를 위한 탄화규소(SiC) 필름을 에칭하는 기판 처리 방법에 관한 것이다.
규소 함유 반사방지 코팅(Silicon-containing antireflection coatings; Sears)은 일반적으로 리소그래피 도포에 있어서 기저층으로서 사용되며, 여기서 포토레지스트층의 패턴은 이방성 건식 에칭 공정을 사용하여 SiARC로 전사된다. 극자외선(EUV) 리소그래피 및 EUV 레지스트의 도입으로 인해, 패터닝된 EUV 레지스트와 SiARC 사이에 접착층을 사용하는 경우에도 패터닝된 EUV 레지스트의 피처(feature)의 넘어짐(toppling over)을 포함하는 문제점이 발생하였다. EUV 리소그래피에서 SiARC를 대체할 수 있는 새로운 기저층 재료가 필요하지만, EUV 레지스트로부터 기저층 재료로의 패턴 전사를 가능하게 하려면, EUV 레지스트가 이러한 기저층 재료에 대하여 적절한 에칭 선택성을 가져야 한다.
EUV 리소그래피 방식에 SiC 필름을 도입하면, EUV 레지스트의 넘어짐을 줄이거나 방지하고 결함을 줄일 수 있다는 가능성을 보여주었다. 최적의 패턴 전사를 위해서는 EUV 레지스트에 대하여 선택적인 SiC 필름의 에칭이 필요하지만, 종래의 에칭 화학 물질에서는 필요한 에칭 선택성을 제공하는 데 성공하지 못했다.
본 발명의 실시예는 레지스트 기저층 도포를 위한 SiC 필름을 에칭하는 기판 처리 방법을 제공한다.
일 실시예에 따르면, 방법은, 기판 상의 탄화규소 필름 및 탄화규소 필름 위의 패턴을 정의하는 포토레지스트층을 함유하는 상기 기판을 제공하는 단계, 플루오로카본 함유 가스와 산소 함유 가스를 함유하는 에칭 가스를 플라즈마-여기하는 단계, 및 기판을 플라즈마-여기된 에칭 가스에 노출하여 패턴을 탄화규소 필름으로 전사하는 단계를 포함하고, 포토레지스트층의 두께의 적어도 일부는 노출 후에 남는다.
다른 일 실시예에 따르면, 방법은, 기판 상의 탄화규소 필름 및 탄화규소 필름 위의 패턴을 정의하는 EUV 레지스트층을 함유하는 상기 기판을 제공하는 단계, C4F8 가스와 O2 가스를 함유하는 에칭 가스를 플라즈마-여기하는 단계, 및 기판을 플라즈마-여기된 에칭 가스에 노출하여 패턴을 탄화규소 필름으로 전사하는 단계를 포함하고, EUV 레지스트층의 두께의 적어도 일부는 노출 후에 남는다.
다른 일 실시예에 따르면, 방법은, 기판 상의 탄화규소 필름 및 탄화규소 필름 위의 패턴을 정의하는 포토레지스트층을 함유하는 상기 기판을 제공하는 단계, 및 a) 기판을 플루오로카본 함유 가스와 산소 함유 가스를 함유하는 플라즈마-여기된 에칭 가스에 노출하는 단계와 b) 기판을 플라즈마-여기된 희가스(noble gas)에 노출함으로써, 패턴을 탄화규소 필름으로 전사하는 펄스형 플라즈마 에칭 공정을 수행하는 단계를 포함하고, a) 단계와 b) 단계는 적어도 한번 순차적으로 수행된다.
다른 일 실시예에 따르면, 방법은, 기판 상의 탄화규소 필름 및 탄화규소 필름 위의 패턴을 정의하는 EUV 레지스트층을 함유하는 상기 기판을 제공하는 단계, 및 a) 기판을 C4F8 가스, O2 가스, 및 Ar 가스를 함유하는 플라즈마-여기된 에칭 가스에 노출하는 단계와 b) 기판을 플라즈마-여기된 Ar 가스에 노출함으로써, 패턴을 탄화규소 필름으로 전사하는 에칭 공정을 수행하는 단계를 포함하고, a) 단계와 b) 단계는 적어도 한번 순차적으로 수행된다.
본 명세서에 포함되고 이의 일부를 구성하는 첨부 도면은, 본 발명의 실시예를 예시하고, 위에서 주어진 본 발명의 일반적인 설명 및 아래에 주어진 상세한 설명과 함께 본 발명을 설명하는 역할을 한다.
도 1a 내지 도 1d는 본 발명의 일 실시예에 따른 기판 처리 방법의 단면도를 개략적으로 도시한다.
도 2는 본 발명의 실시예에 따른 펄스형 SiC 에칭 공정에 대한 개략적인 가스 흐름 및 플라즈마 전력 차트이다.
도 3a 내지 도 3d는 본 발명의 실시예에 따른 EUV 레지스트 기저층으로서 사용되는 SiC 필름을 포함하는 필름 스택의 단면 주사 전자 현미경(SEM) 화상을 도시한다.
도 4 내지 도 7은 본 발명의 실시예에 따른 SiC 필름을 에칭하기 위한 플라즈마 처리 시스템을 도시한다.
본 발명의 실시예는 레지스트 기저층 도포를 위한 SiC 필름을 에칭하는 기판 처리 방법을 설명한다. 일 실시예에 따르면, 플루오로카본 함유 가스, 산소 함유 가스 및 희가스를 함유하는 에칭 가스를 사용하는 펄스형 플라즈마 에칭 공정은 EUV 레지스트층을 에칭하는 것에 대한 SiC 필름 에칭의 적절한 선택성을 제공한다. 일례로, 에칭 가스는 C4F8 가스, O2 가스 및 Ar 가스를 함유한다. 이러한 예상치 못한 에칭 선택성은, 많은 사람이 SF6, NF3, 및 염소 함유 가스들에 초점을 맞춘 다른 보고된 SiC 플라즈마 에칭 공정과 대조된다. 본 발명자들은 EUV 레지스트층에 대한 SiC 필름의 선택성에 대한 다양한 다른 에칭 화학 물질의 효과를 연구하였다.
연구된 에칭 화학물질은, BCl3/O2/Ar, CF4/CHF3, NF3/H2, HBr/Ar, H2/Cl2/Ar, CF4/H2/Ar, NF3/Ar/C4F6, BCl3/Ar, C4F6/Cl2/Ar, Ar/Cl2, CF4/Ar, Cl2/Ar, 및 NF3/Ar/CH4를 포함하였다. 성공적인 C4F8/O2/Ar 에칭 화학 물질과는 대조적으로, 위의 모든 에칭 화학 물질은 EUV 레지스트층을 완전히 제거한 반면 SiC 필름은 부분적으로만 에칭되었다. 특히, Cl2 가스와 NF3 가스의 사용은 EUV 레지스트층에 대해 지나치게 반응성임을 관찰하였다.
도 1a 내지 도 1d는 본 발명의 실시예에 따른 기판 처리 방법의 단면도를 통해 개략적으로 도시한다. 도 1a는, 베이스층(100), Si02 필름(102), 유기 평탄화층(organic planarization layer: OPL)(104), SiC 필름(106), 및 기저 SiC 필름(106)을 노출하는 에칭된 개구(110)를 포함하는 패터닝된 포토레지스트층(108)을 포함하는 필름 스택(1)을 도시한다. 패터닝된 포토레지스트층(108)은 통상적인 리소그래피 및 에칭 방법을 사용하여 형성될 수 있다. 일례로, 패터닝된 포토레지스트층(108)은, EUV 방사선, 예를 들어, 약 13.5 nm를 중심으로 하는 방사선과 함께 사용하기에 적합한 EUV 레지스트층을 포함할 수 있다. 다른 예에서, OPL은 스핀 또는 탄소층 또는 화학 기상 증착(CVD)에 의해 증착된 비정질 탄소에 의해 대체되거나 증강될 수 있다.
일 실시예에 따르면, SiC 필름(106)은 스핀 코팅 기술을 사용하여 OPL(104) 상에 형성될 수 있으며, 여기서 탄화규소 전구체(예를 들어, R1-Si-CH2-Si-R2 성분을 함유하는 전구체)를 함유하는 액체는 회전하는 기판(웨이퍼)의 상측면 상으로 전달된다. 그 후, 기판은 SiC 필름(106)을 경화하기 위해 하나 이상의 열처리(베이킹) 공정을 거칠 수 있다.
도 1b는 포토레지스트층(108)의 패턴을 SiC 필름(106)의 두께 내로 및 이를 통해 전사하는 SiC 플라즈마 에칭 공정 이후의 필름 스택(1)을 도시한다. 이 예에서, SiC 플라즈마 에칭 공정은 OPL(104)에서 중단된다. 일 실시예에 따르면, SiC 플라즈마 에칭 공정은, 에칭 가스를 공정 챔버 내로 흐르게 하는 단계, 플라즈마 소스를 사용하여 에칭 가스를 플라즈마-여기하는 단계, 및 기판을 플라즈마-여기된 에칭 가스에 노출하여 패턴을 탄화규소 필름(106)에 전사하는 단계를 포함하고, 포토레지스트층(108)의 두께의 적어도 일부는 SiC 플라즈마 에칭 공정 후에 남는다.
본 발명의 실시예에 따르면, 에칭 가스는 플루오로카본 함유 가스 및 산소 함유 가스를 포함한다. 플루오로카본 함유 가스는, 플루오로카본 가스(즉, CxFz 가스, 여기서 x 및 z는 정수임), 하이드로플루오로카본 가스(즉, CxHyFz 가스, 여기서 x, y 및 z는 정수임),또는 둘 다를 함유할 수 있다. 일부 예에서, 플루오로카본 가스는 C4F8 또는 C4F6을 포함할 수 있다. 산소 함유 가스는, 예를 들어, O2 가스, CO 가스, CO2 가스, SO2 가스, 또는 이들의 조합을 함유할 수 있다. 일례에서, 플루오로카본 함유 가스는 C4F8 가스를 함유할 수 있고, 산소 함유 가스는 O2 가스를 함유할 수 있다. 에칭 가스는, 희가스, 예를 들어, 아르곤 가스(Ar) 또는 헬륨(He) 가스를 더 포함할 수 있다. 일례로, 에칭 가스는 C4F8 가스, O2 가스, 및 Ar 가스를 포함하거나, 이러한 가스로 이루어진다.
일 실시예에 따르면, 포토레지스트층(108)을 에칭하는 것에 대한 SiC 필름(106)을 에칭하는 선택성은 1:1보다 크다. 다른 실시예에 따르면, 포토레지스트층(108)을 에칭하는 것에 대한 SiC 필름(106) 층을 에칭하는 선택비는 2:1보다 크다. 다른 실시예에 따르면, 포토레지스트층(108)을 에칭하는 것에 대한 SiC 필름(106) 층을 에칭하는 선택비는 2.5:1보다 크다.
일 실시예에 따르면, SiC 플라즈마 에칭 공정은, 플루오로카본 함유 가스, 산소 함유 가스, 및 희가스를 포함하는 플라즈마-여기된 에칭 가스에 기판을 연속적으로 노출함으로써 수행될 수 있다.
다른 실시예에 따르면, SiC 플라즈마 에칭 공정은 기판을 펄스형 플라즈마-여기된 에칭 가스에 노출함으로써 수행될 수 있다. 일례로, 노출하는 단계는 기판을 플라즈마-여기된 에칭 가스에 노출하는 단계 및 기판을 플라즈마-여기된 희가스에 노출하는 단계를 포함하며, 노출 단계들은 순차적으로 수행된다.
도 2는 본 발명의 실시예에 따른 펄스형 SiC 에칭 공정에 대한 개략적인 가스 흐름 및 플라즈마 전력 차트이다. 플루오로카본 함유 가스(예를 들어, C4F8) 및 산소 함유 가스(예를 들어, O2)는 ON-OFF 가스 흐름 기간에 의해 표시된 바와 같이 동시에 펄스화되고, 희가스(예를 들어, Ar)는 공정 챔버 내로 연속 모드로 흐른다. OFF 가스 흐름 기간의 지속시간에 대한 ON 가스 흐름 기간의 지속시간은 펄스형 SiC 에칭 공정 및 패턴 전사를 최적화하도록 조절될 수 있다.
도 2에 도시한 실시예에서, 예를 들어 60 MHz에서 기판 위의 상부 전극에 연속 전력을 제공하는 용량성 결합 플라즈마(CCP) 소스가 사용될 수 있고, 기판 바이어스 전력을 기판을 지지하는 기판 홀더에 인가함으로써 예를 들어 40 MHz에서 추가 펄스 플라즈마 여기가 제공될 수 있다. 기판 바이어스 전력 ON-OFF 사이클은 플루오로카본 함유 가스 및 산소 함유 가스의 ON-OFF 가스 흐름 주기와 중첩된다. 4개의 에칭 사이클이 도 2에 개략적으로 도시되어 있지만, 방법은 이렇게 제한되지 않으며, SiC 필름을 에칭하는 데 필요한 에칭 사이클의 임의의 수가 사용될 수 있다.
SiC 필름(106)의 두께를 통한 포토레지스트층(108)의 패턴의 전사에 이어서, 필름 스택(1)의 추가 처리는, OPL(104)(도 1c)을 통하고, SiO2 필름(102)(도 1d)을 통하고, 베이스층(100)에서 중단되는 패턴 전사를 위한 추가 플라즈마 에칭 공정을 포함할 수 있다.
도 3a 내지 도 3d는 본 발명의 실시예에 따른 EUV 레지스트 기저층으로서 사용되는 SiC 필름을 포함하는 필름 스택의 단면 SEM 이미지를 도시한다. 도 3a의 필름 스택(1)은, 도 2a의 필름 스택(1)과 유사하며, 약 9.4 nm 두께의 SiC 필름 위에 있는 약 13.5 nm 두께의 패터닝된 EUV 레지스트층(PR), SiC 필름 아래의 OPL, 및 OPL 아래의 SiO2 필름을 포함한다. 도 3b는 EUV 레지스트 패턴의 SiC 필름 내로 및 이를 통한 플라즈마 에칭 전사 후의 필름 스택을 도시한다. 패턴은 패터닝된 EUV 레지스트층의 두께가 약 10 nm 남아 있는 상태로 전체 SiC 필름을 통해 전사되었다. 이것은, 약 2.6인, EUV 레지스트층 에칭에 대한 SiC 필름 에칭의 선택성에 해당한다. 다시 말하면, SiC 필름은 EUV 레지스트층보다 약 2.6배 빠르게 에칭된다. 펄스형 SiC 플라즈마 에칭 공정은, 10 sccm의 C4F8 가스, 6 sccm의 O2 가스, 1000 sccm의 Ar 가스를 3초 동안 펄싱하는 한편 기판 위의 상부 전극에 60 MHz의 100 W 전력을 공급하는 것을 포함하였다. 이어서, 상부 전극에 60 MHz의 100 W 전력과 40 MHz의 100W의 기판 바이어스 전력을 공급하면서 C4F8 가스나 O2 가스가 아닌 1000 sccm의 Ar 가스만이 6초의 시간 동안 흘렀다. 사이클링은 7회 수행되었으며, 공정 챔버는 30 mTorr이었으며, 기판 홀더 온도는 약 30℃이었다. 도 3b의 결과는, SiC 필름을 EUV 레지스트 리소그래피 도포에 도입하는 데 필요한 EUV 레지스트층의 에칭에 대한 SiC 필름 에칭의 선택성을 입증한다.
SiC 필름의 두께를 통한 EUV 레지스트층의 패턴의 전사에 이어서, 필름 스택의 추가 처리는 OPL(도 3C)을 통한 및 SiO2 패턴(도 3d)을 통한 패턴의 플라즈마 에칭 전사를 포함하였다.
예시적인 SiC 에칭 조건은, 약 -10℃ 내지 약 100℃의 기판 온도, 약 5 mTorr 내지 약 60 mTorr의 가스 압력, 약 100 W 내지 약 1000 W의 상부 전극 RF 전력, 약 20 W 내지 약 150 W의 기판 바이어스 전력, 약 50 sccm 내지 약 1000 sccm의 Ar 가스 흐름, 약 2 sccm 내지 약 20 sccm의 CxFz 가스 또는 CxHyFz 가스 흐름, 및 약 2 sccm 내지 약 40 sccm의 산소 함유 가스 흐름을 포함한다.
도 4 내지 도 7은 본 발명의 실시예에 따른 SiC 필름을 에칭하기 위한 플라즈마 처리 시스템을 도시한다. 일 실시예에 따르면, 도 4는 챔버(10), 처리될 기판(25)이 부착되는 기판 홀더(20), 가스 주입 시스템(40), 및 진공 펌핑 시스템(50)을 포함하는 플라즈마 처리 시스템(4)을 도시한다. 챔버(10)는, 가열된 전자와 이온화가능한 가스 간의 충돌을 통해 플라즈마가 형성되는, 기판(25)의 표면에 인접한 처리 영역(45)에서의 플라즈마의 생성을 용이하게 하도록 구성된다. 이온화가능한 가스 또는 가스들의 혼합물이 가스 주입 시스템(40)을 통해 도입되고, 공정 압력이 조절된다. 예를 들어, 게이트 밸브(도시하지 않음)는 진공 펌핑 시스템(50)을 조절하는 데 사용된다. 플라즈마는 기판(25)의 노출된 표면으로부터 물질을 제거하기 위한 플라즈마-여기 기체 종을 생성하는 데 이용된다.
기판(25)은, 기판 홀더(20) 내에 수용되고 내부에 수용된 장치에 의해 기계적으로 병진 이동되는 기판 리프트 핀(도시하지 않음)에 의해 기판이 수용되는 로봇 기판 이송 시스템을 거쳐 슬롯 밸브(도시하지 않음) 및 챔버 피드스루(도시하지 않음)를 통해 챔버(10) 내외로 이송된다. 기판(25)은, 일단 기판 이송 시스템으로부터 수용되면, 기판 홀더(20)의 상부면으로 하강된다.
대체 실시예에서, 기판(25)은 정전기 클램프(도시하지 않음)를 통해 기판 홀더(20)에 고정된다. 또한, 기판 홀더(20)는, 기판 홀더(20)로부터 열을 수신하고 열을 열 교환기 시스템(도시하지 않음)으로 전달하고 또는 가열시에 열 교환기 시스템으로부터 열을 전달하는 재순환 냉각제 흐름을 포함하는 냉각 시스템을 더 포함한다. 또한, 가스는 기판(25)과 기판 홀더(20) 간의 가스 갭 열 전도율을 개선하도록 기판의 후면으로 전달될 수 있다. 이러한 시스템은 상승된 또는 감소된 온도에서 기판의 온도 제어가 요구될 때 이용된다. 예를 들어, 기판의 온도 제어는, 플라즈마로부터 기판(25)으로 전달되는 열 플럭스와 기판 홀더(20)로의 전도에 의해 기판(25)으로부터 제거되는 열 플럭스의 균형으로 인해 달성되는 정상 상태 온도를 초과하는 온도에서 유용할 수 있다. 다른 실시예에서는, 저항성 가열 요소 또는 열전 히터/쿨러와 같은 가열 요소가 포함된다.
도 4에 도시한 바와 같이, 기판 홀더(20)는 RF 전력이 처리 영역(45)에서 전극을 통해 플라즈마에 결합되는 상기 전극의 역할을 추가로 행한다. 예를 들어, 기판 홀더(20)는, RF 생성기(30)로부터 임피던스 정합 네트워크(32)를 통해 기판 홀더(20)로의 RF 전력의 송신을 통해 RF 전압에서 전기적으로 바이어싱된다. RF 바이어스는 전자를 가열하여 플라즈마를 형성하고 유지하는 역할을 한다. 이 구성에서, 시스템은 반응성 이온 에칭(reactive ion etch; RIE) 반응기로서 동작하며, 여기서 챔버와 상부 가스 주입 전극은 접지면 역할을 한다. RF 바이어스에 대한 통상적인 주파수는 1 MHz 내지 100 MHz 범위이며, 13.56 MHz일 수 있다.
일례로, RF 전력은 다중 주파수에서 기판 홀더 전극에 인가된다. 또한, 임피던스 정합 네트워크(32)는 반사되는 전력을 최소화함으로써 프로세싱 챔버(10)의 플라즈마로의 RF 전력의 전달을 최대화하는 역할을 한다. 매치 네트워크 토폴로지(예를 들어, L형, π형, T형 등) 및 자동 제어 방법은 당업계에 공지되어 있다.
처리 가스(에칭 가스)(42)는 가스 주입 시스템(40)을 통해 처리 영역(45)에 도입된다. 가스 주입 시스템(40)은 샤워헤드를 포함할 수 있으며, 여기서 공정 가스(42)는, 가스 주입 플레넘(도시하지 않음), 일련의 배플 판(도시하지 않음) 및 다중 오리피스 샤워헤드 가스 주입 판(도시하지 않음)을 통해 가스 전달 시스템(도시하지 않음)으로부터 처리 영역(45)으로 공급된다.
진공 펌프 시스템(50)은, 바람직하게 초당 5000리터(또는 그 이상)까지 펌핑 속도를 올릴 수 있는 터보 분자 진공 펌프(turbo-molecular vacuum pump; TMP) 및 챔버 압력을 조절하기 위한 게이트 밸브를 포함한다. 건식 플라즈마 에칭에 이용되는 종래의 플라즈마 처리 장치에서는, 초당 1000리터 내지 3000리터의 TMP가 사용된다. TMP는 통상적으로 50 mTorr 미만인 저압 처리에 유용하다. 더 높은 압력에서는, TMP 펌핑 속도가 급격히 떨어진다. 고압 처리(즉, 100 mTorr 초과)의 경우에는, 기계식 부스터 펌프와 건식 러핑 펌프가 사용된다.
컴퓨터(55)는, 마이크로프로세서, 메모리, 및 플라즈마 처리 시스템(4)으로부터의 출력을 모니터링할 뿐만 아니라 플라즈마 처리 시스템(4)에 대한 입력을 통신 및 활성화하는 데에도 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트를 포함한다. 또한, 컴퓨터(55)는 RF 생성기(30), 임피던스 정합 네트워크(32), 가스 주입 시스템(40), 및 진공 펌프 시스템(50)에 결합되어 정보를 교환한다. 메모리에 저장된 프로그램은, 저장된 공정 레시피에 따라 플라즈마 처리 시스템(4)의 전술한 구성요소에 대한 입력을 활성화하는 데 이용된다.
도 5에 도시된 제2 실시예에서, 플라즈마 처리 시스템(5)은, 도 4를 참조하여 설명한 구성요소에 추가하여, 플라즈마 밀도를 잠재적으로 증가시키고/증가시키거나 플라즈마 처리 균일성을 개선하기 위해 기계적으로 또는 전기적으로 회전하는 dc 자기장 시스템(60)을 더 포함한다. 또한, 컴퓨터(55)는 회전 속도 및 자기장 강도를 조절하기 위해 회전하는 자기장 시스템(60)에 결합된다.
도 6에 도시한 제3 실시예에서, 플라즈마 처리 시스템(5)은, 도 4를 참조하여 설명한 구성요소에 추가하여, RF 전력이 임피던스 정합 네트워크(74)를 통해 RF 생성기(72)로부터 결합되는 상판 전극(70)을 더 포함한다. 상부 전극에 RF 전력을 인가하기 위한 통상적인 주파수는 10 MHz 내지 200 MHz 범위이며, 60 MHz일 수 있다. 또한, 하부 전극에 전원을 인가하기 위한 통상적인 주파수는 0.1 MHz 내지 60 MHz 범위이며, 40 MHz일 수 있다. 또한, 컴퓨터(55)는, 상부 전극(70)에 대한 RF 전력의 인가를 제어하기 위해 RF 생성기(72) 및 임피던스 정합 네트워크(74)에 결합된다.
도 7에 도시한 제4 실시예에서, 플라즈마 처리 시스템(7)은, 도 4를 참조하여 설명한 구성요소에 추가하여, RF 전력이 임피던스 정합 네트워크(84)를 통해 RF 생성기(82)를 거쳐 결합되는 유도 코일(80)을 더 포함한다. RF 전력은 유도 코일(80)로부터 유전체 창(도시하지 않음)을 통해 플라즈마 처리 영역(45)으로 유도 결합된다. 유도 코일(80)에 RF 전력을 인가하기 위한 통상적인 주파수는 10 MHz 내지 100 MHz 범위이고, 13.56 MHz일 수 있다. 또한, 유도 코일(80)과 플라즈마 간의 용량성 결합을 감소시키기 위해 슬롯형 패러데이 실드(도시하지 않음)가 사용된다. 또한, 컴퓨터(55)는 유도 코일(80)에 대한 전력의 인가를 제어하기 위해 RF 생성기(82) 및 임피던스 정합 네트워크(84)에 결합된다.
대체 실시예에서, 플라즈마는 전자 사이클로트론 공명(electron cyclotron resonance; ECR)을 사용하여 형성된다. 또 다른 실시예에서, 플라즈마는 헬리콘 파의 발사로부터 형성된다. 또 다른 실시예에서, 플라즈마는 전파하는 표면파로부터 형성된다.
레지스트 기저층 도포를 위한 SiC 필름을 에칭하는 복수의 실시예가 설명되었다. 본 발명의 실시예에 대한 전술한 설명은 예시 및 설명의 목적으로 제시되었다. 이것은 본 발명을 망라하려는 의도 또는 개시된 정확한 형태로 제한하려는 의도가 아니다. 이러한 설명과 다음에 따르는 청구범위는 설명만을 목적으로 사용되며 제한하는 것으로서 해석되어서는 안 되는 용어를 포함한다. 통상의 기술자는 전술한 교시에 비추어 많은 수정 및 변형이 가능함을 이해할 수 있다. 통상의 기술자는 도면에 도시된 다양한 구성요소에 대한 다양한 등가의 조합과 대체를 인식할 것이다. 따라서, 본 발명의 범위는 이러한 상세한 설명이 아니라 본원에 첨부된 청구범위에 의해 제한되도록 의도된 것이다.

Claims (20)

  1. 기판 처리 방법으로서,
    기판 상의 탄화규소 필름 및 상기 탄화규소 필름 위의 패턴을 정의하는 포토레지스트층을 함유하는 상기 기판을 제공하는 단계;
    플루오로카본 함유 가스와 산소 함유 가스를 함유하는 에칭 가스를 플라즈마-여기하는 단계; 및
    상기 기판을 상기 플라즈마-여기된 에칭 가스에 노출하여 상기 패턴을 상기 탄화규소 필름으로 전사하는 노출 단계를 포함하고,
    상기 포토레지스트층의 두께의 적어도 일부는 상기 노출 후에 남는, 기판 처리 방법.
  2. 제1항에 있어서, 상기 포토레지스트층의 에칭에 대한 상기 탄화규소 필름의 에칭의 선택성은 1:1보다 큰, 방법.
  3. 제1항에 있어서, 상기 포토레지스트층의 에칭에 대한 상기 탄화규소 필름의 에칭의 선택성은 2:1보다 큰, 방법.
  4. 제1항에 있어서, 상기 포토레지스트층의 에칭에 대한 상기 탄화규소 필름의 에칭의 선택성은 2.5:1보다 큰, 방법.
  5. 제1항에 있어서, 상기 노출 단계는,
    a) 상기 기판을 상기 플라즈마-여기된 에칭 가스에 노출하는 단계, 및
    b) 상기 기판을 플라즈마-여기된 희가스에 노출하는 단계
    를 포함하는 펄스화된 가스 에칭 공정을 수행하는 단계를 포함하고,
    상기 a) 단계와 상기 b) 단계는 적어도 한번 순차적으로 수행되는, 방법.
  6. 제1항에 있어서, 상기 플루오로카본 함유 가스는 플루오로카본 가스, 하이드로플루오로카본 가스, 또는 둘 다를 포함하는, 방법.
  7. 제1항에 있어서, 상기 플루오로카본 함유 가스는 플루오로카본 가스를 포함하는, 방법.
  8. 제7항에 있어서, 상기 플루오로카본 가스는 C4F8 가스, C4F6 가스, 또는 이들의 조합을 함유하는, 방법.
  9. 제1항에 있어서, 상기 산소 함유 가스는 O2 가스, CO 가스, CO2, SO2 가스, 또는 이들의 조합을 포함하는, 방법.
  10. 제1항에 있어서, 상기 에칭 가스는 Ar 가스를 더 포함하는, 방법.
  11. 제1항에 있어서, 상기 포토레지스트층은 극자외선(EUV) 레지스트층을 포함하는, 방법.
  12. 기판 처리 방법으로서,
    기판 상의 탄화규소 필름 및 상기 탄화규소 필름 위의 패턴을 정의하는 극자외선(EUV) 레지스트층을 함유하는 상기 기판을 제공하는 단계;
    C4F8 가스와 O2 가스를 함유하는 에칭 가스를 플라즈마-여기하는 단계; 및
    상기 기판을 상기 플라즈마-여기된 에칭 가스에 노출하여 상기 패턴을 상기 탄화규소 필름으로 전사하는 노출 단계를 포함하고,
    상기 EUV 레지스트층의 두께의 적어도 일부는 상기 노출 후에 남는, 기판 처리 방법.
  13. 제12항에 있어서, 상기 EUV 레지스트층의 에칭에 대한 상기 탄화규소 필름의 에칭의 선택성은 1:1보다 큰, 방법.
  14. 제12항에 있어서, 상기 EUV 레지스트층의 에칭에 대한 상기 탄화규소 필름의 에칭의 선택성은 2:1보다 큰, 방법.
  15. 제12항에 있어서, 상기 EUV 레지스트층의 에칭에 대한 상기 탄화규소 필름의 에칭의 선택성은 2.5:1보다 큰, 방법.
  16. 제12항에 있어서, 상기 노출 단계는,
    a) 상기 기판을 상기 플라즈마-여기된 에칭 가스에 노출하는 단계, 및
    b) 상기 기판을 플라즈마-여기된 Ar 가스에 노출하는 단계
    를 포함하는 펄스화된 가스 에칭 공정을 수행하는 단계를 포함하고,
    상기 a) 단계와 상기 b) 단계는 적어도 한번 순차적으로 수행되는, 방법.
  17. 기판 처리 방법으로서,
    기판 상의 탄화규소 필름 및 상기 탄화규소 필름 위의 패턴을 정의하는 극자외선(EUV) 레지스트층을 함유하는 상기 기판을 제공하는 단계; 및
    펄스화된 플라즈마 에칭 공정을 수행하는 단계를 포함하고,
    상기 펄스화된 플라즈마 에칭 공정은,
    a) 상기 기판을 C4F8 가스, O2 가스, 및 Ar 가스를 함유하는 플라즈마-여기된 에칭 가스에 노출하는 단계, 및
    b) 상기 기판을 플라즈마-여기된 Ar 가스에 노출하는 단계
    에 의해, 상기 패턴을 상기 탄화규소 필름에 전사하고,
    상기 a) 단계와 상기 b) 단계는 적어도 한번 순차적으로 수행되는, 기판 처리 방법.
  18. 제17항에 있어서, 상기 EUV 레지스트층의 에칭에 대한 상기 탄화규소 필름의 에칭의 선택성은 1:1보다 큰, 방법.
  19. 제17항에 있어서, 상기 EUV 레지스트층의 에칭에 대한 상기 탄화규소 필름의 에칭의 선택성은 2:1보다 큰, 방법.
  20. 제17항에 있어서, 상기 EUV 레지스트층의 에칭에 대한 상기 탄화규소 필름의 에칭의 선택성은 2.5:1보다 큰, 방법.
KR1020227039817A 2020-05-13 2021-05-11 레지스트 기저층 도포를 위한 탄화규소 필름의 건식 에칭 방법 KR20230008099A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063024273P 2020-05-13 2020-05-13
US63/024,273 2020-05-13
PCT/US2021/031816 WO2021231456A1 (en) 2020-05-13 2021-05-11 Method for dry etching silicon carbide films for resist underlayer applications

Publications (1)

Publication Number Publication Date
KR20230008099A true KR20230008099A (ko) 2023-01-13

Family

ID=78512932

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227039817A KR20230008099A (ko) 2020-05-13 2021-05-11 레지스트 기저층 도포를 위한 탄화규소 필름의 건식 에칭 방법

Country Status (4)

Country Link
US (1) US11658038B2 (ko)
KR (1) KR20230008099A (ko)
TW (1) TW202209476A (ko)
WO (1) WO2021231456A1 (ko)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6475889B1 (en) * 2000-04-11 2002-11-05 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
US6872666B2 (en) * 2002-11-06 2005-03-29 Intel Corporation Method for making a dual damascene interconnect using a dual hard mask
US7291286B2 (en) 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
CN100533678C (zh) * 2006-11-09 2009-08-26 厦门大学 减少ICP刻蚀SiC表面损伤的方法
JP4442698B2 (ja) * 2007-07-25 2010-03-31 三菱電機株式会社 炭化珪素半導体装置の製造方法
JP2012049220A (ja) * 2010-08-25 2012-03-08 Mitsui Eng & Shipbuild Co Ltd 耐プラズマ部材およびその再生方法
KR101425760B1 (ko) * 2010-08-27 2014-08-01 도쿄엘렉트론가부시키가이샤 에칭 방법, 기판 처리 방법, 패턴 형성 방법, 반도체 소자의 제조 방법, 및 반도체 소자
US8901007B2 (en) * 2013-01-03 2014-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Addition of carboxyl groups plasma during etching for interconnect reliability enhancement
US10343900B2 (en) 2016-09-07 2019-07-09 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Material structure and method for deep silicon carbide etching

Also Published As

Publication number Publication date
US20210358763A1 (en) 2021-11-18
WO2021231456A1 (en) 2021-11-18
TW202209476A (zh) 2022-03-01
US11658038B2 (en) 2023-05-23

Similar Documents

Publication Publication Date Title
KR102445013B1 (ko) 하드 마스크들을 사용하지 않고서 자기 정렬 패터닝 방식들에서 패턴 밀도를 증가시키는 방법
CN107431011B (zh) 用于原子层蚀刻的方法
JP6049871B2 (ja) エッチング及びアッシング中での低誘電率材料の側壁保護
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
US8809196B2 (en) Method of etching a thin film using pressure modulation
TWI545646B (zh) 臨界尺寸偏差降低之含矽抗反射塗布層之蝕刻方法
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
JP5608920B2 (ja) 二酸化炭素/一酸化炭素に基づく処理を利用した基板アッシング方法
TWI514516B (zh) 保護外露式低k表面的方法
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
US11075057B2 (en) Device for treating an object with plasma
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
KR102460795B1 (ko) 낮은 종횡비 적층물의 패터닝을 위한 방법 및 시스템
US20140308815A1 (en) Etching method and device
US20050269294A1 (en) Etching method
TW202004902A (zh) 基板處理方法及基板處理裝置
KR20230008099A (ko) 레지스트 기저층 도포를 위한 탄화규소 필름의 건식 에칭 방법
US11881410B2 (en) Substrate processing apparatus and plasma processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination