JP6049871B2 - エッチング及びアッシング中での低誘電率材料の側壁保護 - Google Patents

エッチング及びアッシング中での低誘電率材料の側壁保護 Download PDF

Info

Publication number
JP6049871B2
JP6049871B2 JP2015518556A JP2015518556A JP6049871B2 JP 6049871 B2 JP6049871 B2 JP 6049871B2 JP 2015518556 A JP2015518556 A JP 2015518556A JP 2015518556 A JP2015518556 A JP 2015518556A JP 6049871 B2 JP6049871 B2 JP 6049871B2
Authority
JP
Japan
Prior art keywords
layer
low
mask layer
substrate
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2015518556A
Other languages
English (en)
Other versions
JP2015521799A (ja
Inventor
千葉 祐毅
祐毅 千葉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2015521799A publication Critical patent/JP2015521799A/ja
Application granted granted Critical
Publication of JP6049871B2 publication Critical patent/JP6049871B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、低誘電率(low-k)材料への損傷を緩和する方法に関する。
金属相互接続のために絶縁層の積層体中にLow-k材料を実際に実装することはやっかいな課題に直面する。究極的には、損傷が最小であって構造上頑丈なパターニングされた絶縁層を生成しながら、金属相互接続中にlow-k誘電材料を統合することで誘電率を減少させたことの利点を完全に実現することが望ましい。Low-kの損傷が蓄積することで、そのlow-k材料は、性能が劣り、かつ、信頼性の不十分な金属相互接続を露呈する。
本発明の実施例は、低誘電率(low-k)材料への損傷を緩和する方法に関する。
一の実施例によると、露出したlow-k表面を保護する方法が記載されている。当該方法は、上に生成される低誘電率絶縁層、及び、該低誘電率絶縁層の上にパターンが内部に生成されている1層以上のマスク層を有する基板を供する工程を含む。それに加えて当該方法は、1つ以上のエッチングプロセスを用いて前記1層以上のマスク層中のパターンを前記低誘電率絶縁層へ転写することで前記低誘電率絶縁層中にトレンチ及び/又はビア構造を形成する工程をさらに含む。当該方法はさらに、前記1つ以上のエッチングプロセス中及び/又は前記1つ以上のエッチングプロセス後に、前記基板をC,H,及びNを含む膜生成化合物へ曝露することによって前記トレンチ及び/又はビア構造の露出表面上に絶縁保護層を形成する工程を含む。その後当該方法は、マスク除去プロセスを用いることによって前記1層以上のマスク層の少なくとも一部を除去する工程を含む。
low-k絶縁層をパターニングする従来方法を概略的に表している。 low-k絶縁層をパターニングする従来方法を概略的に表している。 low-k絶縁層をパターニングする従来方法を概略的に表している。 本発明の実施例による露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例による露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例による露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例による露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例による露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例による露出したlow-k表面を保護する方法を表すフローチャートを与えている。 本発明の他の実施例による露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の他の実施例による露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の他の実施例による露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の他の実施例による露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の他の実施例による露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の他の実施例による露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例によるマスク層を除去するときに露出したlow-k表面を保護する方法を表している。 本発明の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の他の実施例による本発明の他の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明の他の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明のさらに他の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明のさらに他の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 本発明のさらに他の実施例によるマスク層を除去するときに露出したlow-k表面を保護しながらlow-k絶縁層をパターニングする方法を概略的に表している。 マスク層を除去するときに露出したlow-k表面を保護する典型的データを与える。 本発明の実施例によるプラズマ処理システムを概略的に表している。 本発明の他の実施例によるプラズマ処理システムを概略的に表している。 本発明の他の実施例によるプラズマ処理システムを概略的に表している。 本発明の他の実施例によるプラズマ処理システムを概略的に表している。 本発明の他の実施例によるプラズマ処理システムを概略的に表している。 本発明の他の実施例によるプラズマ処理システムを概略的に表している。 本発明の他の実施例によるプラズマ処理システムを概略的に表している。
以降の説明では、限定ではなく説明目的で、具体的詳細−たとえば処理システムの特定の幾何学構造、使用される様々な部品及びプロセスの説明−が与えられる。しかし本発明は、これらの具体的詳細から逸脱する他の実施例においても実施可能であることに留意して欲しい。
同様に説明目的で、本発明の完全な理解を助けるため、具体的数値、材料、及び構成が与えられている。それでも本発明は具体的詳細がなくても実施可能である。さらに図示された様々な実施例は例示であって、必ずしも正しい縮尺で描かれていないことに留意して欲しい。
様々な操作が、本発明の理解を最も助けるように、多数の独立した操作として記載されている。しかし記載順序は、これらの操作が必ずしも順序に依存することを示唆するように解されてはならない。具体的には、これらの操作は、提示の順序に従って実行されることを要しない。記載された操作は、記載された実施例とは異なる順序で実行されて良い。様々な追加の操作が実行されて良い。かつ/あるいは記載された操作は追加の実施例において省略されて良い。
本願において用いられるように「基板」とは概して、本発明によって処理される対象物を指称する。基板は、素子−特に半導体又は他のエレクトロニクス素子−の材料部分又は構造を含んでよく、かつ、たとえば底部基板構造−たとえば半導体ウエハ又は底部基板構造上に存在する若しくはその構造を覆うように存在する層(たとえば薄膜)−であってよい。よって基板とは、任意の特定の底部構造、下地層若しくは上を覆う層、パターニングの有無に限定されず、むしろ係る層若しくは底部構造、並びに、係る層及び/若しくは底部構造の任意の組み合わせを含むと解される。以降の説明は、特定の種類の基板を参照するが、これは単なる例示であって限定ではない。
上述したように半導体の製造においては、金属相互接続用に絶縁層の積層体を製造するとき、low-k材料の統合は多くの課題をもたらす。たとえば図1Aは、low-k材料をパターニングする従来方法を図示している。その方法は、基板110上にlow-k絶縁層120を準備する工程で開始される。その後low-k絶縁層120を覆う、内部にパターン100が生成されるマスク層130が準備される。パターン100はビアパターン又はトレンチパターンを含んで良い。
図1Bに図示されているように、エッチングプロセスは、low-k絶縁層120内部へ及び/又はlow-k絶縁層120を貫通するようにパターン100を転写するように実行されて良い。エッチング化学物質(たとえばプラズマ化学物質)にlow-k絶縁層120を曝露することで、low-k絶縁層120への初期損傷122を、特に内部に生成されるパターンの側壁に沿って生じさせる恐れがある。さらに図1Cに図示されているように、マスク層130の残りの部分がアッシングプロセスによって除去されるとき、さらなる損傷124が、low-k絶縁層120の曝露部分によって引き起こされる。
マスク層130が有機材料−たとえばフォトレジスト−を含むとき、アッシングプロセスは典型的には、その有機材料を除去するのに酸素含有化学物質−たとえば酸素含有プラズマ−を使用する。そのような場合、酸素含有化学物質は、low-k絶縁層120中の炭素及びメチル基(つまりCH3)を劣化させる恐れがある。Low-k絶縁層120の脱メチル化は、SiCOH含有層において特にはっきり表れる。その結果、これらのプロセスによって損傷を受けたlow-k絶縁層120は、誘電率の増大、漏れ電流の増大、及び親水性の増大に悩まされる。
さらにこの損傷は、上を覆う層に対する側壁ボーイング及び側壁アンダーカットとして表れる恐れがある。その結果、パターン100の充填及びメタライゼーションを行うとき、歩留まりや信頼性に影響を及ぼす金属ボイドが生じる恐れがある。
しかもマスク層130が金属材料−たとえばTiN金属ハードマスク−を含むとき、アッシングプロセスは一般的に、フッ素含有化学物質−たとえばNF3含有プラズマ−を用いて金属材料を除去する。そのような場合では、フッ素含有化学物質は、深刻な上を覆う層に対する側壁ボーイング及び側壁アンダーカットを引き起こす恐れがある。その結果、パターン100を充填又はメタライゼーションするときに、歩留まりや信頼性に影響を及ぼす金属ボイドが生じる恐れがある。
従って様々な実施例によると、損傷を減少させるために露出したlow-k表面を保護する方法が記載されている。当該方法は、図2A〜図2Eに図示され、かつ、図3においてフローチャート300によって与えられている。図2Aにおいて図示され、かつ、図3において与えられているように、フローチャート300は、1層以上のマスク層230及び上に生成される低誘電率絶縁層220を有する基板を供する工程で開始される。ここでパターンは、リソグラフィプロセスを用いることによって1層以上のマスク層230内に生成される。
図2Aに表されているように、320では、パターンは、エッチングプロセスを用いてlow-k絶縁層220へ転写されたことで、内部に構造上の特徴(フィーチャ)200が生成された。構造上の特徴200は、任意の様々な従来手法を用いて準備されたビア、トレンチ、若しくはライン、又は、トレンチ−ビア構造を含んで良い。任意の様々な従来手法には、シングルダマシン法、デュアルダマシン法、トレンチファースト・金属ハードマスク(TFMHM)パターニング法、ビアファースト・トレンチラスト(VFTL)パターニング法等が含まれるが、これらに限定されるわけではない。
基板210は、バルクシリコン基板、単結晶シリコン基板(ドーピングされているものもドーピングされていないものも含む)、絶縁体上の半導体(SOI)基板、又は、たとえばSi,SiC,SiGe,Ge,GaAs,InAs,InP及び他のIII/V若しくはII/VI族化合物又は任意の結合を含む任意の他の半導体基板を含んで良い(II,III,V,VI族とは、元素周期表における以前のすなわち旧IUPAC表記を表す)。改正されたすなわち新IUPACによると、II,III,V,VI族はそれぞれ、2,13,15,16族と表される。基板は任意のサイズ−たとえば200mm基板、300mm基板、450mm基板、又はそれ以上のサイズの基板−であって良い。
Low-k絶縁層220は、低誘電率(つまりlow-k)又は超低誘電率(つまり超low-k)を有する誘電体層を有して良い。low-k又は超low-k誘電体層は、約4の誘電率(たとえば熱シリコン酸化物の誘電率は3.8から3.9の範囲であって良い)であるSiO2の誘電率よりも低い公称誘電率を有する。より詳細にはLow-k絶縁層220は、3.7未満、又は2.5未満、又は1.6から3.7の範囲の誘電率を有して良い。Low-k絶縁層220は多孔性であって良いし、又は、無孔性であっても良い。
たとえばlow-k絶縁層220は、SiCOH含有材料を含んで良い。それに加えてたとえば、low-k絶縁層220は、単一相を有する多孔性の無機-有機ハイブリッド膜を有して良い。そのような膜とは、硬化又は堆積プロセス中に膜が十分に緻密化するのを妨げるCH3結合を有する、たとえばシリコン酸化物系の母体である。あるいはその代わりに、これらの誘電体層は、少なくとも二相を有する多孔性の無機-有機ハイブリッド膜を有して良い。そのような膜とはたとえば、硬化プロセス中に分解及び揮発する有機材料(たとえばポロゲン)の孔を有する、たとえば炭素がドーピングされたシリコン酸化物系の母体である。
low-k絶縁層220は、気相成長法を用いて形成されて良い。気相成長法とはたとえば、化学気相成長法(CVD)、プラズマ支援CVD(PECVD)、原子層堆積(ALD)、プラズマ支援ALD(PEALD)、物理気相成長(PVD)、又は電離PVD(iPVD)、又はスピンオン法である。これらの方法は、東京エレクトロン株式会社(TEL)から市販されているクリーントラックアクト(Clean Track Act)8SOD(スピンオン誘電体)、クリーントラックアクトアクト12SOD、及びリシウスコーティングシステム(Lithius coating systems)で供される。クリーントラックアクト8(200mm)、クリーントラックアクト12(300mm)、及びリシウス(300nm)コーティングシステムは、SOD材料用のコーティング、ベーキング、及び硬化装置を供する。トラックシステムは、100mm、200mm、300mm、及びそれ以上のサイズの基板を処理するように備えられていて良い。基板上に薄膜を形成する他のシステム及び方法は、スピンオン法及び気相成長法の分野の当業者にとって周知である。
1層以上のマスク層230は、たとえばフォトレジストのような放射線感受性材料の層を有して良い。フォトレジスト層は、248nm(ナノメートル)レジスト、193nmレジスト、157nmレジスト、EUV(極紫外)レジスト、又は電子ビーム感受性レジストを有して良い。フォトレジスト層はトラックシステムを用いて形成されて良い。たとえばトラックシステムは、東京エレクトロン株式会社(TEL)から市販されているクリーントラックアクト8、クリーントラックアクト12、及びリシウスレジストコーティング及び現像システムを有して良い。基板上にフォトレジスト層を形成する他のシステム及び方法は、スピンオンレジスト法の当業者にとって周知である。
それに加えて1層以上のマスク層230は、たとえばシリコン含有反射防止(ARC)層−たとえば信越化学株式会社からSepr-Shb Aseries SiARCとして市販されているシリコン含有ARC−を含んで良い。任意のARC層はたとえば、スピンコーティング法又は気相堆積プロセスを用いて形成されて良い。
さらに1層以上のマスク層230は、有機平坦化層(OPL)又は誘起誘電層(ODL)を含んで良い。OPL又はODLは、感光性を有する有機ポリマー又はエッチング用有機化合物を含んで良い。たとえば感光性有機ポリマーは、ポリアクリラート樹脂、エポキシ樹脂、フェノール樹脂、ポリアミド樹脂、不飽和ポリエステル樹脂、ポリフェニルエーテル樹脂、ポリフェニレンスルホン酸樹脂、又はベンゾシクロブテン(BCB)であって良い。これらの材料は、スピン・オン法を用いて形成することができる。
さらに1層以上のマスク層230はハードマスク層を含んで良い。ハードマスク層は金属又は金属含有材料を含んで良い。それに加えてハードマスク層はSi含有材料又はC含有材料を含んで良い。Si含有材料又はC含有材料はたとえば、シリコン酸化物(SixOy)、シリコン窒化物(SixNy)、シリコン酸窒化物(SixOyNz)、シリコン酸炭化物(SixOyCz)、若しくは炭素(ダイアモンドライクカーボン(DLC)、アモルファスカーボン(a-C)、又はグラファイト)、又は、それらの任意の結合を含んで良い。
パターンは、一連のリソグラフィ工程及び任意のエッチング工程を用いることによって1つ以上のマスク層230内に生成されて良い。一旦パターニングされると、パターン(又は一連の準備されたパターン)は、1つ以上のエッチングプロセス−たとえば1つ以上のプラズマエッチングプロセス−を用いることによって下地の薄膜−つまりlow-k絶縁層220−へ転写されて良い。
図2Bに図示されているように、330では、絶縁保護層240が1層以上のマスク層230の露出した表面上に形成され、かつ、構造上の特徴200がlow-k絶縁層220内に形成される。絶縁保護層240はCとNを含む。絶縁保護層240は、C,N,及び任意でHを含む環境中で気相成長プロセスを実行することによって形成されて良い。絶縁保護層240は、C,N,及び任意でOを含んで良い。絶縁保護層240は、C,N,O,及び任意でFを含んで良い。
一の実施例では、絶縁保護層240は、非プラズマ気相成長プロセス又はプラズマ支援気相成長プロセスを実行することによって形成される。いずれかの堆積プロセスも、初期原料として、複素環式芳香族有機化合物又は芳香族アミンを含む膜生成処理組成物を用いる工程を含んで良い。膜生成処理組成物は、ピロール(C4H4NH)又はアニリン(C6H5NH2)を含んで良い。ピロールは以下のような構造を有する。
Figure 0006049871
アニリンは以下のような構造を有する。
Figure 0006049871
非プラズマ気相成長プロセス又はプラズマ支援気相成長プロセスのいずれについても、膜精製処理組成物の成分は、大気圧及び/又は真空圧での単体若しくはキャリアガス(たとえば希ガス又は窒素)と一緒になった状態の気相及び/又は液相中に存在するものから選ばれなければならない。
プラズマ支援堆積プロセスは、高周波(RF)出力又はマイクロ波出力を印加してプラズマを生成する工程を含んで良い。しかしプラズマ支援堆積プロセスは、上に基板210が存在する基板ホルダへの高周波(RF)バイアスの印加を含まなくても良い。
プラズマ支援堆積プロセスを用いると、プラズマを生成するRF出力は、約50W〜1000W、好適には約50W〜500W、及びより好適には約50W〜200Wの範囲であって良い。圧力は、約1mTorr〜約10000mTorr、好適には約100mTorr〜約1000mTorr、及びより好適には約400mTorr〜約600mTorrの範囲であって良い。基板210の温度は、約0℃〜約300℃、好適には約30℃〜約100℃、及びより好適には約50℃〜約70℃の範囲であって良い。例として、プラズマ支援堆積プロセスのプラズマ条件は、13.56MHzのRF周波数、100WのRF出力(上に基板が存在する下部電極及び/又は該下部電極に対向する上部電極に結合する)、500mTorrの圧力、約30sccmの処理組成物流量、約60℃の基板温度、及び、約30nmの上部電極と下部電極との間の電極間隔を含んで良い。
膜厚は、約5nm〜約200nm、好適には約10nm〜約100nm、及びより好適には約30nm〜約70nmの範囲(たとえば約50nm)であって良い。さらに絶縁保護層240を生成するとき、少なくとも1つのプロセスパラメータが、非プラズマ堆積プロセス又はプラズマ支援気相成長プロセスにおいて調節されることで、膜厚さの変更、及び/又は、1つ以上のマスク層230の少なくとも一部を除去するのに用いられ得る後続のアッシング/エッチング/クリーニングプロセスに対する絶縁保護層240のエッチング耐性の調節が行われて良い。
任意で図2Cに図示されているように、構造上の特徴200の側壁表面222上の絶縁保護層240の残りの部分を保持しながら、絶縁保護層240は、1層以上のマスク層230の上面232及びlow-k絶縁層230内の底面212から異方的に除去されて良い。1層以上のマスク層230の上面232及び構造上の特徴200の底面212からの絶縁保護層の除去は、1つ以上のエッチングプロセスを用いて実行されて良い。1つ以上のエッチングプロセスは乾式プラズマエッチングプロセス又は乾式非プラズマエッチングプロセスを含んで良い。
一の実施例では、乾式プラズマエッチングプロセスは異方性プラズマエッチングプロセスを含んで良い。異方性プラズマエッチングプロセスは、CとFを含むエッチング処理組成物からプラズマを生成する工程を含んで良い。たとえばエッチング処理組成物はフルオロカーボン(つまりCxFy。ここでxとyは1以上に等しい。)を含んで良い。
それに加えてたとえば、エッチングプロセス条件はハロメタンガスを含んで良い。ハロメタンガスは、一置換ハロメタン(たとえばCH3F)、二置換ハロメタン(たとえばCH2F2)、三置換ハロメタン(たとえばCHF3)、又は、四置換ハロメタン(たとえばCF4)を含んで良い。
それに加えてたとえば、エッチングプロセス条件は炭化水素(つまりCxHy。ここでxとyは1以上に等しい。)を含んで良い。あるいはその代わりにたとえば、エッチング処理組成物は、CxHyRz(ここでRはハロゲンで、xとyは1以上に等しく、zは0以上に等しい。)の化学式を有する添加気体を含んで良い。
さらにたとえばエッチング処理組成物は希ガスを含んで良い。エッチング処理組成物は、酸素含有気体、水素含有気体、窒素含有気体、若しくは炭素含有気体、又は上記2種類以上の混合気体を含んで良い。たとえばエッチング処理組成物は、H2、O2、CO、CO2、NH3、NO、N2O、若しくはNO2、又は上記2種類以上の混合気体を含んで良い。エッチング処理組成物はさらに、フッ素含有気体、塩素含有気体、臭素含有気体、又はハライド含有気体を含んで良い。たとえばエッチング処理組成物はさらに、HBr、F2、Cl2、BCl3、NF3、又はSF6を含んで良い。
一の実施例では、異方性プラズマエッチングプロセス用エッチング処理組成物は、希ガス、及び、CF4、C4F6、C4F8、及びC5F8を含む群から選ばれる1種類以上の気体を含んで良い。他の実施例では、異方性プラズマエッチングプロセス用エッチング処理組成物は、CF4及びArを含んで良い。
異方性プラズマエッチングプロセスはエッチングプロセスレシピの準備を含んで良い。エッチングプロセスレシピは、1つ以上のプロセスパラメータによって定められる1つ以上のプロセス条件を含んで良い。1つ以上のプロセス条件は、1つ以上のプロセスパラメータの設定によって設定されて良い。1つ以上のプロセスパラメータの設定とはたとえば、エッチング処理組成物の各成分の流量の設定、プラズマ処理システム中での圧力の設定、基板を支持して電気的にバイアス印加する基板ホルダ内部の下部電極に印加される第1高周波(RF)信号の第1RF出力レベルの設定、下部電極又は基板上方で下部電極に対向する源アンテナ若しくは上部電極に印加される第2RF信号の第2RF(又はマイクロ波)出力レベルの設定、プラズマ処理システムの温度条件の設定、基板又は基板ホルダの温度条件の設定、エッチング時間の設定、及び/又はオーバーエッチング時間の設定である。異方性プラズマエッチングプロセスの間、プロセスパラメータのうちの任意の1つが変化して良い。
異方性プラズマエッチングプロセスは、基板210が上に存在する基板ホルダへの高周波(RF)バイアスを印加する工程を含んで良い。基板210の温度は約0℃〜約100℃の範囲であって良い。さらに異方性プラズマエッチングプロセスを実行するとき、少なくとも1つのプロセスパラメータは、構造上の特徴200の限界寸法(CD)、構造上の特徴200の側壁プロファイル等を制御するように異方性プラズマエッチングプロセスにおいて調節されて良い。
他の実施例では、オーバーエッチングプロセスが実行されて良い。
図2Dに図示されているように、340では、マスク除去プロセスが、1層以上のマスク層230の少なくとも一部を除去するために実行される。マスク除去プロセスは、1つ以上のアッシング/エッチング/剥離工程を有して良い。
一の実施例では、マスク除去プロセスはプラズマアッシングプロセスを含んで良い。たとえばプラズマアッシングプロセスは、O,N,若しくはH、又は上記2種類以上の混合気体を含む処理組成物を用いてプラズマを生成する工程を含んで良い。他の実施例では、マスク除去プロセスは、酸素含有環境に基板210を曝露する工程を含んで良い。酸素含有環境は、単原子酸素(O)、二原子酸素(O2)、三原子酸素(オゾン、O3)、酸素含有分子、イオン化酸素、準安定酸素、若しくは酸素の任意の励起状態、又は上記2種類以上の任意の混合体を含んで良い。たとえば酸素含有環境は、O,O2,O3,CO,CO2,NO,N2O,若しくはNO2,又は上記2種類以上の任意の混合気体を含んで良い。それに加えてたとえば、酸素含有環境はO2を含んで良い。
任意で図2Eに図示されているように、マスク除去プロセスの実行に続いて、絶縁保護層240の任意の残りの部分は、構造上の特徴200の側壁表面222から選択的に除去されて良い。一の実施例では、構造上の特徴200の側壁表面222からの絶縁保護層240の残りの部分の選択的除去は、乾式又は湿式クリーニングプロセスを実行することによって実現される。たとえば湿式クリーニングプロセスは、HF溶液−たとえば希釈HF水溶液−中に絶縁保護層の残りの部分を浸漬させる工程を含んで良い。
一の実施例では、絶縁保護層を形成する堆積プロセス、任意の異方性プラズマエッチングプロセス、及び、マスク除去プロセスは、同一のプラズマ処理システム内で実行される。代替実施例では、絶縁保護層を形成する堆積プロセス、任意の異方性プラズマエッチングプロセス、及び、マスク除去プロセスは、それぞれ別個のプラズマ処理システム内で実行される。
他の実施例では、絶縁保護層の形成、任意の異方性プラズマエッチングプロセス、及び、マスク除去プロセスのうちの少なくとも2つは、構造上の特徴200の側壁表面222が適切に保護されるまで、複数回−たとえば2サイクル以上−繰り返される。
他の実施例によると、露出したlow-k表面を保護して損傷を軽減する方法が記載されている。当該方法は図4A〜図4Fに図示されている。図Aに図示されているように、マスク層430及び上に生成されるlow-k絶縁層420を有する基板410が受け取られる。パターンは、リソグラフィプロセス及び任意の1つ以上のエッチングプロセスを用いることによってマスク層430内に生成された。
図4Bに図示されているように、パターンは、1つ以上のエッチングプロセスを用いてlow-k絶縁層420へ部分的に転写されることで、少なくとも内部に構造上の特徴400の初期段階が形成される。構造上の特徴400は、任意の様々な従来手法を用いて準備されたビア、トレンチ、若しくはライン、又は、トレンチ−ビア構造を含んで良い。任意の様々な従来手法には、シングルダマシン法、デュアルダマシン法、トレンチファースト・金属ハードマスク(TFMHM)パターニング法、ビアファースト・トレンチラスト(VFTL)パターニング法等が含まれるが、これらに限定されるわけではない。
図4Cに図示されているように、絶縁保護層440Aは、マスク層430露出表面上及び部分的にlow-k絶縁層420内に形成される構造上の特徴400の露出表面上に形成される。その後図4Dに図示されているように、構造上の特徴400は、1つ以上のさらなるエッチングプロセスを用いることによって、low-k絶縁層420のさらに内部へ進展する。図4Dに図示されているように、1つ以上のさらなるエッチングプロセスの間、絶縁保護層440Aの少なくとも一部は、マスク層430から除去され、かつ、場合によってはlow-k絶縁層420中の構造上の特徴400の側壁に沿って薄くされることで、残りの絶縁保護層440Bが残されて良い。しかし進展する構造上の特徴400の側壁上に残りの絶縁保護層440Bが存在することで、low-k層420と、1つ以上のさらなるエッチングプロセスのエッチング用化学物質−たとえばプラズマ化学物質−との相互作用が減少し得る。
図4Eに図示されているように、さらなる絶縁保護層440Cが、マスク層430の露出表面上及び部分的にlow-k絶縁層420中に形成される構造上の特徴400内の露出表面上に形成される。その後図4Fに図示されているように、構造上の特徴400は、1つ以上のまたさらなるエッチングプロセスを用いてlow-k絶縁層420のさらに内部へ進展する。図4Fに図示されているように、1つ以上のまたさらなるエッチングプロセスの間、さらなる絶縁保護層440Cの少なくとも一部は、マスク層430から除去され、かつ、場合によってはlow-k絶縁層420中の構造上の特徴400の側壁に沿って薄くされることで、残りの絶縁保護層440Dが残されて良い。Low-k絶縁層420内に及びLow-k絶縁層420を貫通するように構造上の特徴400を形成する間に実行される一連の工程は、進展する構造上の特徴400の側壁を保護し、かつ、構造上の特徴の側壁がエッチング用化学物質に曝露される際の損傷を軽減し得る。
図4A〜図4Fに記載されているエッチング−堆積−エッチング−堆積(等)法は、構造上の特徴400がパターニング中に進展する間にlow-k絶縁層420の露出表面を保護することで、low-k絶縁層420とエッチング用化学物質との間での相互作用を制限するのに利用されて良い。相互作用が制限されることで、low-k絶縁層420への損傷が軽減し得る。
他の実施例では、マスク層が金属−たとえばTi又はTiN−を含むとき、マスク層を除去するプロセスは一般的に、フッ素含有化学物質−たとえばフッ素含有プラズマ−を用いて金属含有物質を除去する。たとえばNF3系プラズマが、TiNを含む金属ハードマスク層を除去するのに用いられた。しかしフッ素含有プラズマエッチングはとりわけ、low-k絶縁層内に形成されるパターンのマスクアンダーカット及び側壁ボーイング、スパッタリングされた金属のスパッタリングと再堆積に起因するlow-k絶縁層の面の腐食及び金属汚染を引き起こす恐れがある。
従って様々な実施例によると、マスク層を除去するときの損傷を軽減するために露出したlow-k表面を保護する方法が記載されている。当該方法は、図5のフローチャートによって表されている。図5に表されているように、フローチャート500は、1つ以上のエッチングプロセスとlow-k絶縁層の上に設けられるハードマスク層を用いて基板上のlow-k絶縁層中にトレンチ−ビア構造の少なくとも一部を形成する工程510で開始される。トレンチ−ビア構造は、任意の様々な従来手法を用いて準備されて良い。任意の様々な従来手法には、シングルダマシン法、デュアルダマシン法、トレンチファースト・金属ハードマスク(TFMHM)パターニング法、ビアファースト・トレンチラスト(VFTL)パターニング法等が含まれるが、これらに限定されるわけではない。
例として、図6A〜図6Jは、low-k絶縁層630中にトレンチ−ビア構造600形成するTFMHM集積法を図示している。図6Aで表されているように、上に形成されたlow-k絶縁層630及びlow-k絶縁層630の上に設けられる少なくとも1層のハードマスク層640を有する基板610が受け取られる。少なくとも1層のハードマスク層640は、low-k絶縁層630の上に設けられる第1ハードマスク層644及び第1ハードマスク層644の上に設けられる第2ハードマスク層642を有して良い。それに加えて、少なくとも1層のキャップ層620が、low-k絶縁層630と基板610との間に挿入されて良い。
図6A〜図6Jに図示されているように、トレンチ−ビア構造600は、low-k絶縁層630中及び一連の他の層の中に形成される。その後トレンチ−ビア構造600は、1層以上のコンフォーマル薄膜によって覆われる。1層以上のコンフォーマル薄膜は、金属バリア層、金属接合層、若しくは金属シード層、又は上記2つ以上の混合層を含む。ライナが形成された後、トレンチ−ビア構造600は、金属−たとえばCu−によって充填され、かつ、たとえば化学機械平坦化(CMP)を用いて平坦化されることで、金属相互接続が形成され、かつ、基板610内(複数の)金属線612への電気的接続が実現される。
基板610は、バルクシリコン基板、単結晶シリコン基板(ドーピングされているものもドーピングされていないものも含む)、絶縁体上の半導体(SOI)基板、又は、たとえばSi,SiC,SiGe,Ge,GaAs,InAs,InP及び他のIII/V若しくはII/VI族化合物又は任意の結合を含む任意の他の半導体基板を含んで良い(II,III,V,VI族とは、元素周期表における以前のすなわち旧IUPAC表記を表す)。改正されたすなわち新IUPACによると、II,III,V,VI族はそれぞれ、2,13,15,16族と表される。基板は任意のサイズ−たとえば200mm基板、300mm基板、450mm基板、又はそれ以上のサイズの基板−であって良い。上述したように、基板610は、他の層−たとえば電気的接続が行われるこれまでに形成された他の相互接続層−を含んで良い。
Low-k絶縁層630は、低誘電率(つまりlow-k)又は超低誘電率(つまり超low-k)を有する誘電体層を有して良い。low-k又は超low-k誘電体層は、約4の誘電率(たとえば熱シリコン酸化物の誘電率は3.8から3.9の範囲であって良い)であるSiO2の誘電率よりも低い公称誘電率を有する。より詳細にはlow-k絶縁層630は、3.7未満、又は2.5未満、又は1.6から3.7の範囲の誘電率を有して良い。Low-k絶縁層630は多孔性であって良いし、又は、無孔性であっても良い。
たとえばlow-k絶縁層630は、SiCOH含有材料を含んで良い。それに加えてたとえば、low-k絶縁層630は、単一相を有する多孔性の無機-有機ハイブリッド膜を有して良い。そのような膜とは、硬化又は堆積プロセス中に膜が十分に緻密化するのを妨げるCH3結合を有する、たとえばシリコン酸化物系の母体である。あるいはその代わりに、low-k絶縁層630は、少なくとも二相を有する多孔性の無機-有機ハイブリッド膜を有して良い。そのような膜とはたとえば、硬化プロセス中に分解及び揮発する有機材料(たとえばポロゲン)の孔を有する、たとえば炭素がドーピングされたシリコン酸化物系の母体である。
low-k絶縁層630は、気相成長法を用いて形成されて良い。気相成長法とはたとえば、化学気相成長法(CVD)、プラズマ支援CVD(PECVD)、原子層堆積(ALD)、プラズマ支援ALD(PEALD)、物理気相成長(PVD)、又は電離PVD(iPVD)、又はスピンオン法である。これらの方法は、東京エレクトロン株式会社(TEL)から市販されているクリーントラックアクト(Clean Track Act)8SOD(スピンオン誘電体)、クリーントラックアクトアクト12SOD、及びリシウスコーティングシステム(Lithius coating systems)で供される。クリーントラックアクト8(200mm)、クリーントラックアクト12(300mm)、及びリシウス(300nm)コーティングシステムは、SOD材料用のコーティング、ベーキング、及び硬化装置を供する。トラックシステムは、100mm、200mm、300mm、及びそれ以上のサイズの基板を処理するように備えられていて良い。基板上に薄膜を形成する他のシステム及び方法は、スピンオン法及び気相成長法の分野の当業者にとって周知である。
上述したように、少なくとも1層のハードマスク層640は、low-k絶縁層630の上に設けられる第1ハードマスク層644及び第1ハードマスク層644の上に設けられる第2ハードマスク層642を含んで良い。第1ハードマスク層644はSi含有材料又はC含有材料を含んで良い。Si含有材料又はC含有材料はたとえば、シリコン酸化物(SixOy)、シリコン窒化物(SixNy)、シリコン酸窒化物(SixOyNz)、シリコン酸炭化物(SixOyCz)、若しくは炭素(ダイアモンドライクカーボン(DLC)、アモルファスカーボン(a-C)、又はグラファイト)、又は、それらの任意の結合を含んで良い。それに加えて第2ハードマスク層642は金属又は金属含有材料を含んで良い。第2ハードマスク層642は、チタン(Ti)、チタン窒化物(TiNy)、タンタル(Ta)、タンタル窒化物(TaNy)、アルミニウム(Al)、又はアルミニウム−銅の合金(Al-Cu)を含んで良い。たとえば第2ハードマスク層642はTi又はTiNを含んで良い。
キャップ層620は単一層又は複数の層を含んで良い。たとえばキャップ層620は、窒素がドーピングされたシリコンカーバイド又はSi-N-C-Hを含んで良い。さらにたとえばキャップ層620は、シリコン窒化物(SiNy)、シリコンカーバイド(SiCy)、シリコン炭窒化物(SiCxNy)、若しくはSiCxNyHz、又は、上記2種類以上の混合体を含んで良い。キャップ層620は、気相成長プロセス−たとえば化学気相成長法又はプラズマ支援CVD(PECVD)法−を用いて形成されて良い。さらにキャップ層620は、low-k絶縁層630とキャップ層620との間及び/又はキャップ層620と基板610との間に設けられた傾斜層を有して良い。
図6Aに図示されているように、第1リソグラフィマスク層650が、第2ハードマスク層642上に形成されたトレンチパターン660を有した状態で準備される。第1リソグラフィマスク層650は、たとえばフォトレジストのような放射線感受性材料の層を有して良い。フォトレジスト層は、248nm(ナノメートル)レジスト、193nmレジスト、157nmレジスト、EUV(極紫外)レジスト、又は電子ビーム感受性レジストを有して良い。フォトレジスト層はトラックシステムを用いて形成されて良い。たとえばトラックシステムは、東京エレクトロン株式会社(TEL)から市販されているクリーントラックアクト8、クリーントラックアクト12、及びリシウスレジストコーティング及び現像システムを有して良い。基板上にフォトレジスト層を形成する他のシステム及び方法は、スピンオンレジスト法の当業者にとって周知である。
それに加えて第1リソグラフィマスク層650は、たとえばシリコン含有反射防止(ARC)層−たとえば信越化学株式会社からSepr-Shb Aseries SiARCとして市販されているシリコン含有ARC−を含んで良い。任意のARC層はたとえば、スピンコーティング法又は気相堆積プロセスを用いて形成されて良い。
さらに第1リソグラフィマスク層650は、有機平坦化層(OPL)又は誘起誘電層(ODL)を含んで良い。OPL又はODLは、感光性を有する有機ポリマー又はエッチング用有機化合物を含んで良い。たとえば感光性有機ポリマーは、ポリアクリラート樹脂、エポキシ樹脂、フェノール樹脂、ポリアミド樹脂、不飽和ポリエステル樹脂、ポリフェニルエーテル樹脂、ポリフェニレンスルホン酸樹脂、又はベンゾシクロブテン(BCB)であって良い。これらの材料は、スピン・オン法を用いて形成することができる。
トレンチパターン660は、一連のリソグラフィ工程及び任意のエッチング工程を用いることによって第1リソグラフィマスク層650内に生成されて良い。一旦パターニングされると、パターン(又は一連の準備されたパターン)は、1つ以上のエッチングプロセス−たとえば1つ以上のプラズマエッチングプロセス−を用いることによって下地の薄膜−つまり少なくとも1層のハードマスク層640とlow-k絶縁層630−へ転写されて良い。
図6Bに図示されているように、トレンチパターン660は第2ハードマスク層642へ転写され、かつ、トレンチパターン660の転写は第1ハードマスク層644上で止まる。トレンチパターン660の第2ハードマスク層642への転写は、1つ以上のエッチングプロセス−たとえば1つ以上のプラズマエッチングプロセス−を実行する工程を含んで良い。その後第1リソグラフィマスク層650は、1つ以上のアッシングプロセス及び/又は剥離プロセスを用いることによって除去される。
図6Cに図示されているように、第2リソグラフィマスク層655が、第2ハードマスク層642上に形成されたビアパターン665を有する状態で準備される。第2リソグラフィマスク層655は、たとえばフォトレジストのような放射線感受性材料の層を有して良い。フォトレジスト層は、248nm(ナノメートル)レジスト、193nmレジスト、157nmレジスト、EUV(極紫外)レジスト、又は電子ビーム感受性レジストを有して良い。フォトレジスト層はトラックシステムを用いて形成されて良い。たとえばトラックシステムは、東京エレクトロン株式会社(TEL)から市販されているクリーントラックアクト8、クリーントラックアクト12、及びリシウスレジストコーティング及び現像システムを有して良い。基板上にフォトレジスト層を形成する他のシステム及び方法は、スピンオンレジスト法の当業者にとって周知である。
図6Dに図示されているように、ビアパターン665は、第1ハードマスク層644を貫通するように転写され、かつ、low-k絶縁層630の少なくとも一部に転写される。Low-k絶縁層630へのビアパターン665の転写は、1つ以上のエッチングプロセス−たとえば1つ以上のエッチングプロセス−を実行する工程を含んで良い。その後図6Eに図示されているように、第2リソグラフィマスク層655は、1つ以上のアッシングプロセス及び/又は剥離プロセスを用いることによって除去される。
図6Fに図示されているように、第2ハードマスク層642中のトレンチパターン660は、第1ハードマスク層644を貫通し、かつ、low-k絶縁層630へ所定の深さにまで入り込むように転写されることで、トレンチ−ビア構造600が形成される。Low-k絶縁層630へのトレンチパターン660の転写中、ビアパターン665は、low-k絶縁層630及び/又はキャップ層620を貫通するように転写されることで、側壁表面632が曝露されて良い。
520では、絶縁保護層670が、トレンチ−ビア構造の露出した表面上に堆積される。絶縁保護層670はCとNを含む。絶縁保護層670は、C,N,及び任意でHを含む環境中で気相成長プロセスを実行することによって形成されて良い。絶縁保護層670は、C,N,及び任意でOを含んで良い。絶縁保護層670は、C,N,O,及び任意でFを含んで良い。
一の実施例では、絶縁保護層670は、非プラズマ気相成長プロセス又はプラズマ支援気相成長プロセスを実行することによって形成される。いずれかの堆積プロセスも、初期原料として、複素環式芳香族有機化合物又は芳香族アミンを含む膜生成処理組成物を用いる工程を含んで良い。膜生成処理組成物は、ピロール(C4H4NH)又はアニリン(C6H5NH2)を含んで良い
530及び図6Hに図示されているように、1つ以上のエッチングプロセスは任意で、トレンチ−ビア構造600上の少なくとも1つの表面から絶縁保護層670の少なくとも一部を異方的に除去するように実行されて良い。たとえばトレンチ−ビア構造600の側壁表面632上のSiOCl含有層の残りの部分を保持したまま、絶縁保護層670は、第2ハードマスク層642の上面672、トレンチパターン660の底面674、及びビアパターン665の底面678から異方的に除去されて良い。トレンチ−ビア構造600中の絶縁保護層670の残りの部分は、ビアパターン665の側壁表面632がトレンチパターン660の底面674と出会う端部にて側壁表面632及び面675を保護して良い。トレンチ−ビア構造600上の少なくとも1つの表面からのSiOCl含有層の除去は、1つ以上のエッチングプロセスを用いて実行されて良い。1つ以上のエッチングプロセスは、乾式プラズマエッチングプロセス又は乾式非プラズマエッチングプロセスを含んで良い。
一の実施例では、乾式プラズマエッチングプロセスは異方性プラズマエッチングプロセスを含んで良い。異方性プラズマエッチングプロセスは、CとFを含むエッチング処理組成物からプラズマを生成する工程を含んで良い。たとえばエッチング処理組成物はフルオロカーボン(つまりCxFy。ここでxとyは1以上に等しい。)を含んで良い。
それに加えてたとえば、エッチングプロセス条件はハロメタンガスを含んで良い。ハロメタンガスは、一置換ハロメタン(たとえばCH3F)、二置換ハロメタン(たとえばCH2F2)、三置換ハロメタン(たとえばCHF3)、又は、四置換ハロメタン(たとえばCF4)を含んで良い。
それに加えてたとえば、エッチングプロセス条件は炭化水素(つまりCxHy。ここでxとyは1以上に等しい。)を含んで良い。あるいはその代わりにたとえば、エッチング処理組成物は、CxHyRz(ここでRはハロゲンで、xとyは1以上に等しく、zは0以上に等しい。)の化学式を有する添加気体を含んで良い。
さらにたとえばエッチング処理組成物は希ガスを含んで良い。エッチング処理組成物は、酸素含有気体、水素含有気体、窒素含有気体、若しくは炭素含有気体、又は上記2種類以上の混合気体を含んで良い。たとえばエッチング処理組成物は、H2、O2、CO、CO2、NH3、NO、N2O、若しくはNO2、又は上記2種類以上の混合気体を含んで良い。エッチング処理組成物はさらに、フッ素含有気体、塩素含有気体、臭素含有気体、又はハライド含有気体を含んで良い。たとえばエッチング処理組成物はさらに、HBr、F2、Cl2、BCl3、NF3、又はSF6を含んで良い。
一の実施例では、異方性プラズマエッチングプロセス用エッチング処理組成物は、希ガス、及び、CF4、C4F6、C4F8、及びC5F8を含む群から選ばれる1種類以上の気体を含んで良い。他の実施例では、異方性プラズマエッチングプロセス用エッチング処理組成物は、CF4及びArを含んで良い。
異方性プラズマエッチングプロセスはエッチングプロセスレシピの準備を含んで良い。エッチングプロセスレシピは、1つ以上のプロセスパラメータによって定められる1つ以上のプロセス条件を含んで良い。1つ以上のプロセス条件は、1つ以上のプロセスパラメータの設定によって設定されて良い。1つ以上のプロセスパラメータの設定とはたとえば、エッチング処理組成物の各成分の流量の設定、プラズマ処理システム中での圧力の設定、基板を支持して電気的にバイアス印加する基板ホルダ内部の下部電極に印加される第1高周波(RF)信号の第1RF出力レベルの設定、下部電極又は基板上方で下部電極に対向する源アンテナ若しくは上部電極に印加される第2RF信号の第2RF(又はマイクロ波)出力レベルの設定、プラズマ処理システムの温度条件の設定、基板又は基板ホルダの温度条件の設定、エッチング時間の設定、及び/又はオーバーエッチング時間の設定である。異方性プラズマエッチングプロセスの間、プロセスパラメータのうちの任意の1つが変化して良い。
異方性プラズマエッチングプロセスは、基板610が上に存在する基板ホルダへの高周波(RF)バイアスを印加する工程を含んで良い。基板610の温度は約0℃〜約100℃の範囲であって良い。さらに異方性プラズマエッチングプロセスを実行するとき、少なくとも1つのプロセスパラメータは、トレンチ−ビア構造600の限界寸法(CD)、トレンチ−ビア構造600の側壁プロファイル等を制御するように異方性プラズマエッチングプロセスにおいて調節されて良い。
他の実施例では、オーバーエッチングプロセスが実行されて良い。
540と図6Iに図示されているように、マスク除去エッチングプロセスは、第2ハードマスク層642の少なくとも一部を除去するように実行される。マスク除去エッチングプロセスは1つ以上のエッチングプロセスを含んで良い。1つ以上のエッチングプロセスは乾式プラズマエッチング又は乾式非プラズマエッチングを含んで良い。
一の実施例では、乾式プラズマエッチングプロセスは、ハロゲンを含むエッチング処理組成物からプラズマを生成する工程を含んで良い。たとえばエッチング処理組成物は、フッ素含有気体、塩素含有気体、臭素含有気体、ハライド含有気体、ハロカーボン気体(つまりCxRy。ここでRはハロゲンで、xとyは1以上に等しい。)、ハロ炭化水素(CxHyRz。ここでRはハロゲンで、xとyは1以上に等しく、zは0以上に等しい。)、又は、ハロメタンガス−たとえば一置換ハロメタン(たとえばCH3F)、二置換ハロメタン(たとえばCH2F2)、三置換ハロメタン(たとえばCHF3)、又は、四置換ハロメタン(たとえばCF4)−を含んで良い。それに加えてたとえばエッチング処理組成物はさらに、HBr、F2、Cl2、BCl3、NF3、又はSF6を含んで良い。
それに加えて、乾式プラズマエッチングプロセスは、Fを含むエッチング処理組成物からプラズマを生成する工程を含んで良い。たとえばエッチング処理組成物は、HF、NF3、SF6、フルオロカーボン(つまりCxFy。ここでxとyは1以上に等しい。)、フルオロハイドロカーボン(つまりCxHyFz。ここでxとyは1以上に等しく、zは0以上に等しい。)、又は、ハロメタンガス−たとえば一置換ハロメタン(たとえばCH3F)、二置換ハロメタン(たとえばCH2F2)、三置換ハロメタン(たとえばCHF3)、又は、四置換ハロメタン(たとえばCF4)−を含んで良い。
さらにたとえばエッチング処理組成物は希ガスを含んで良い。エッチング処理組成物は、酸素含有気体、水素含有気体、窒素含有気体、若しくは炭素含有気体、又は上記2種類以上の混合気体を含んで良い。たとえばエッチング処理組成物は、H2、O2、CO、CO2、NH3、NO、N2O、若しくはNO2、又は上記2種類以上の混合気体を含んで良い。
一の実施例では、マスク除去エッチング用エッチング処理組成物はNF3と任意の希ガスを含んで良い。他の実施例では、マスク除去エッチング用エッチング処理組成物はNF3とArを含んで良い。さらに他の実施例では、マスク除去エッチング用エッチング処理組成物はNF3で構成されて良い。
マスク除去エッチングプロセスはエッチングプロセスレシピの準備を含んで良い。エッチングプロセスレシピは、1つ以上のプロセスパラメータによって定められる1つ以上のプロセス条件を含んで良い。1つ以上のプロセス条件は、1つ以上のプロセスパラメータの設定によって設定されて良い。1つ以上のプロセスパラメータの設定とはたとえば、エッチング処理組成物の各成分の流量の設定、プラズマ処理システム中での圧力の設定、基板を支持して電気的にバイアス印加する基板ホルダ内部の下部電極に印加される第1高周波(RF)信号の第1RF出力レベルの設定、下部電極又は基板上方で下部電極に対向する源アンテナ若しくは上部電極に印加される第2RF信号の第2RF(又はマイクロ波)出力レベルの設定、プラズマ処理システムの温度条件の設定、基板又は基板ホルダの温度条件の設定、エッチング時間の設定、及び/又はオーバーエッチング時間の設定である。マスク除去エッチングプロセスの間、プロセスパラメータのうちの任意の1つが変化して良い。
図6Jに図示されているように、マスク除去エッチングプロセスの実行に続いて、絶縁保護層670の残りの部分が、トレンチ−ビア構造600の側壁表面632から選択的に除去されて良い。一の実施例では、トレンチ−ビア構造600の側壁表面632からの絶縁保護層670の残りの部分の選択的除去は、湿式クリーニングプロセスを実行することによって実現される。たとえば湿式クリーニングプロセスは、HF溶液−たとえば希釈HF水溶液−中に絶縁保護層670の残りの部分を浸漬させる工程を含んで良い。
一の実施例では、絶縁保護層を形成する堆積プロセス、任意の異方性プラズマエッチングプロセス、及び、マスク除去プロセスは、同一のプラズマ処理システム内で実行される。代替実施例では、絶縁保護層を形成する堆積プロセス、任意の異方性プラズマエッチングプロセス、及び、マスク除去プロセスは、それぞれ別個のプラズマ処理システム内で実行される。
他の実施例では、絶縁保護層は、トレンチ−ビア構造の形成におけるその他の工程の前及び/又は後に堆積されて良い。例として、トレンチ−ビア構造700のビアパターン665中の露出したlow-k表面を保護する方法が図7A及び図7Bに図示されている。図7Aに図示されているように、ビアパターン665の転写後であってトレンチパターン660をlow-k絶縁層630へ転写する前に、仮の絶縁保護層が、トレンチ−ビア構造700内のビアパターン665の露出された表面上に堆積されることで、ビア絶縁保護層770が形成されて良い。仮の絶縁保護層の堆積直後であって第2リソグラフィマスク層655の除去前に、1つ以上のエッチングプロセスが、トレンチ−ビア構造700上の少なくとも1つの表面から仮のSiOCl含有層の少なくとも一部を異方的に除去するように実行されて良い。その後第2リソグラフィマスク層655が、図7Bに図示されるように除去されて良い。
さらに他の実施例では、絶縁保護層が、パターン転写プロセス中の複数の段階で堆積されて良い。特にトレンチパターン660及び/又はビアパターン665の転写が個別のエッチング工程において実行されて良い。ここで、絶縁保護層の周期的形成及び任意の絶縁保護層の少なくとも一部の異方的除去が、個別のエッチングプロセスからなる少なくとも1つの連続する処理の間に挿入され、かつ、場合によってはトレンチ−ビア構造が適切に保護されるまで複数回−たとえば2回以上のサイクル−繰り返されて良い。
例として、トレンチ−ビア構造800のトレンチパターン660中の露出したlow-k表面を保護する方法が記載されている。当該方法は図8A〜図8Cに図示されている。図8Aに図示されているように、トレンチパターン660は、1つ以上のエッチングプロセスを用いて第2ハードマスク層642から第1ハードマスク層644を貫通してlow-k絶縁層630へ転写されることで、トレンチ−ビア構造800の少なくとも初期段階が形成される。Low-k絶縁層630へのトレンチパターン660の転写の初期段階は、トレンチ−ビア構造800のために定められた所定の深さよりも浅い第1トレンチ深さまで実行されて良い。第1トレンチ深さでは、中間絶縁保護層が、トレンチ−ビア構造800の露出した表面上に堆積されることで、第1絶縁保護層870Aが形成される。
その後図8Bに図示されているように、トレンチパターン660は、1つ以上のさらなるエッチングプロセスを用いてlow-k絶縁層630中へさらに進展する。Low-k絶縁層630へのトレンチパターン660の転写の後続段階は、トレンチ−ビア構造800のために定められた所定の深さ以下の第2トレンチ深さまで実行されて良い。図8Bに図示されているように、1つ以上のさらなるエッチングプロセスの間、第1絶縁保護層870Aは、少なくとも部分的に第2ハードマスク層842から除去され、かつ、場合によってはlow-k絶縁層630中のトレンチ−ビア構造800の側壁表面632に沿って薄くされることで、残りの絶縁保護層870Bが残されて良い。進展するトレンチ−ビア構造800の側壁上の残りの絶縁保護層870Bが存在することで、low-k絶縁層630と1つ以上のさらなるエッチングプロセスのエッチング用化学物質−たとえばプラズマ化学物質−との相互作用は減少し得る。
その後図8Cに図示されているように、他の絶縁保護層が、トレンチ−ビア構造800の露出した表面上に堆積されることで、第2絶縁保護層870Cが形成されて良い。一連の工程−つまりlow-k絶縁層630へ入り込んで貫通するトレンチ−ビア構造を形成する間に実行される図8A〜図8Cに記載されているエッチング−堆積−エッチング−堆積(等)法−は、進展するトレンチ−ビア構造800の側壁を保護することで、low-k絶縁層630とエッチング用化学物質との間での相互作用を制限し得る。相互作用が制限されることで、low-k絶縁層630への損傷が軽減し得る。トレンチパターニングに関して記載されているが、エッチング−堆積の一連の処理はビアパターニング中に実行されても良い。
Figure 0006049871
例として、本願発明者は、low-k絶縁層と有機誘電層(ODL)を有する基板上に絶縁保護層を堆積するデータを取得した。Low-k絶縁層は多孔性材料−たとえばアプライドマテリアルズ社から販売されているブラックダイアモンドII(BD2)−を含んで良い。CとNを含む絶縁保護層が、膜生成前駆体としてのピロールとアニリンを用いてlow-k絶縁層とODL上に堆積された。表1は、両膜生成前駆体について、low-k絶縁層とODLの両方の上に存在する絶縁保護層の元素組成を与えている。さらに表1は、如何なる堆積も行っていないlow-k絶縁層の元素組成を与えている。選ばれた露出表面の元素組成の測定は、X線光電子分光法(XPS)を用いて行われた。
本願発明者は、絶縁保護層の元素組成が、low-k絶縁層上に堆積された膜とODL上に堆積された膜とで実質的に同一であることを発見した。それに加えて本願発明者は、後続のエッチングプロセス、アッシングプロセス、及び/又は湿式クリーニングプロセスを実行するときのlow-k絶縁層の損失と損傷が最小になることを発見した。さらに本願発明者は、絶縁保護層の堆積に続いてアッシングプロセスを用いることによってlow-k絶縁層からODLが除去されることを発見した。換言すると、絶縁保護層は、アッシングプロセス中でのODLの除去を妨害しないが、下地のlow-k絶縁層を保護する犠牲層として機能する。絶縁保護層用の他の材料組成物−たとえばSiとCを含む他の膜−を試しに用いてみたとき、本願発明者は、ODLを除去することの困難さに直面した。
図9は、マスク層を除去するときに露出したlow-k表面を保護する典型的データを与えている。図中、エッチングプロセス後の初期膜厚を有するlow-k絶縁層が基板上に堆積されている(図9では、「エッチングされた膜厚」と表されている)。膜厚の進展は、エッチングプロセスに続く様々な段階で測定された。前記測定には、(i)絶縁保護層の堆積後での膜厚の測定、(ii)アッシングプロセス後での膜厚の測定、及び、(iii)湿式クリーニング後での膜厚の測定が含まれる。膜厚の進展は複数の場合について測定された。前記複数の場合には、(a)絶縁保護層が堆積されない場合(「堆積なし」)、(b)膜生成前駆体としてアニリンを用いて絶縁保護層が堆積される場合、及び、(c)膜生成前駆体としてピロールを用いて絶縁保護層が堆積される場合が含まれる。
図9から明らかなように、本願発明者は、後続のアッシングプロセス及び湿式クリーニング中にlow-k絶縁層の保護が改善されることを発見した。さらに本願発明者は、堆積された絶縁保護層の膜厚が、下地のlow-k絶縁層の損失及び/又は損傷を防止するように適合され得ると結論づけた。
記載された様々な実施例による絶縁保護層を形成する堆積プロセス、任意のプラズマエッチングプロセス、パターンをlow-k絶縁層へ転写する1つ以上のエッチングプロセス、及び、マスク除去プロセスのうちの任意の一を実行する1つ以上の方法は、後述する図10〜図16に図示されたプラズマ処理システムのうちの任意の一において実行されて良い。
一の実施例によると、上述のプロセス条件を実行するように構成されたプラズマ処理システム1000が図10に図示されている。プラズマエッチングシステム1000は、プラズマ処理チャンバ1010、被処理基板1025が固定される基板ホルダ1020、及び真空排気システム1050を有する。基板1025はたとえは、半導体基板、ウエハ、フラットパネルディスプレイ、又は液晶ディスプレイであって良い。プラズマ処理チャンバ1010はたとえば、基板1025の表面に隣接するプラズマ処理領域1045内でのプラズマの生成を容易にするように備えられて良い。電離可能気体又は気体混合物が、ガス分配システム1040を介して導入される。所与のプロセスガス流について、プロセス圧力が、真空排気システム1050を用いて調節される。プラズマは、所定の材料プロセスに特有な材料の生成、及び/又は基板1025の曝露された表面からの材料の除去の補助に利用されて良い。プラズマ処理システム1000は、任意の所望のサイズの基板−たとえば200mm基板、300mm基板、又はそれ以上の大きさの基板−を処理するように備えられて良い。
基板1025は、固定システム1028−たとえば機械固定システム又は電気固定システム(たとえば静電固定システム)−によって基板ホルダ1020の上面に固定されてよい。さらに基板ホルダ1020は、基板ホルダ1020と基板1025の温度を調節及び/若しくは制御するように構成された加熱システム(図示されていない)又は冷却システム(図示されていない)を有して良い。加熱システム又は冷却システムは伝熱流体の再循環流を有して良い。前記伝熱流体の再循環流は、冷却時には、基板ホルダ1020から熱を受け取って、熱交換器システム(図示されていない)へ伝え、又は、加熱時には、熱を熱交換器システムから基板ホルダ1020へ伝える。他の実施例では、加熱/冷却素子−たとえば抵抗加熱素子又は熱電ヒータ/クーラ−は、基板ホルダ1020内のみならず、プラズマ処理チャンバ1010のチャンバ壁及びプラズマ処理システム1000内の他の構成要素内に含まれて良い。
それに加えて、伝熱ガスが、背面気体システム1026によって、基板1025の背面へ供給されることで、基板1025と基板ホルダ1020との間の気体ギャップ熱伝導を改善して良い。係るシステムは、昇温又は降温に基板の温度制御が必要なときに利用されて良い。たとえば背面気体システムは、2領域気体分配システムを有して良い。このシステムでは、ヘリウムガス圧を基板1025の中心部分と端部との間で独立して変化させることができる。
図10に示された実施例では、基板ホルダ1020は電極を有し、その電極を介してRF出力がプラズマ処理領域1045内の処理プラズマと結合して良い。たとえば基板ホルダ1020は、RF発生装置1030から任意のインピーダンス整合ネットワーク1032を介して基板ホルダ1020へRF出力を伝送することにより、RF電圧で電気的にバイアス印加されて良い。RFバイアスは、プラズマを形成して維持する熱電子を供給することができる。この構成では、システムは、反応性イオンエッチング(RIE)反応装置として動作して良い。この装置では、チャンバ及び上部気体注入電極は接地電極として機能する。RFバイアスの典型的な周波数は、約0.1MHzから約100MHzの範囲であって良い。プラズマ処理用のRFシステムは当業者には周知である。
さらにRF電圧での電極1022のバイアスは、パルス状バイアス信号制御装置1031を用いてパルス状にされて良い。RF発生装置1030からのRF電力の出力はたとえば、オフ状態とオン状態との間でのパルス状であって良い。
あるいはその代わりに、RF出力は複数の周波数で基板ホルダの電極に印加される。さらにインピーダンス整合ネットワーク1032は、反射出力を減少させることによって、プラズマ処理チャンバ1010内のプラズマへのRF出力の移送を改善するように機能する。整合ネットワークの接続状態(たとえばL型、π型、T型等)及び自動制御法は、当業者には周知である。
ガス分配システム1040は、複数のプロセスガスの混合物を導入するシャワーヘッド設計を有して良い。あるいはその代わりにガス分配システム1040は、複数のプロセスガスの混合物を導入して、基板1025の上方での複数のプロセスガスの混合物の分配を調節する多領域シャワーヘッド設計を有しても良い。たとえば多領域シャワーヘッド設計は、基板1025の上方で実質的に中心領域へのプロセスガスの流れ又は組成物の量に対して、基板1025の上方で実質的に周辺領域へのプロセスガスの流れ又は組成物を調節するように構成されて良い。
真空排気システム1050はたとえば、最大で5000l/sec(以上)の排気速度での排気が可能なターボ分子真空ポンプ(TMP)及びチャンバ圧力をしぼるゲートバルブを有して良い。ドライプラズマエッチングに用いられる従来のプラズマ処理装置では、1000〜3000l/secのTMPが一般に用いられている。TMPは、典型的には50mTorr未満の低圧処理にとって有用である。高圧(約100mTorrよりも高い圧力)での処理については、メカニカルブースターポンプ及びドライ粗引きポンプが用いられて良い。さらにチャンバ圧力の監視装置(図示されていない)が、プラズマ処理チャンバ1010と結合して良い。
制御装置1055は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有する。デジタルI/Oポートは、プラズマ処理システム1000からの出力を監視するのみならず、プラズマ処理システム1000の入力をやり取りし、かつ始動させるのに十分な制御電圧を発生させる能力を有する。しかも制御装置1055は、基板/基板加熱/冷却システム(図示されていない)、背面気体供給システム1026、及び/又は静電固定システム1028だけでなくRF発生装置1030、インピーダンス整合ネットワーク1032、ガス分配システム1040、真空排気システム1050と結合して情報のやり取りをすることができる。基板1025上でのプラズマ支援プロセス−たとえばプラズマエッチングプロセス−を実行するためのプロセスレシピに従って、メモリ内に記憶されたプログラムは、プラズマ処理システム1000の上述の処理用構成部品への入力を起こすのに利用されて良い。
制御装置1055は、プラズマ処理システム1000の近くに設けられて良いし、又はインターネット若しくはイントラネットを介することで、プラズマ処理システム1000から離れた場所に設けられても良い。たとえば制御装置1055は、直接接続、イントラネット又はインターネットを用いることで、プラズマ処理システム1000とのデータ交換が可能となる。制御装置1055は、カスタマーサイト(つまりデバイスメーカーなど)でイントラネットと接続し、ベンダーサイト(つまり装置メーカーなど)でイントラネットと接続して良い。さらに、別なコンピュータ(つまりコントローラ、サーバなど)が、制御装置1055とアクセスすることで、直接接続、イントラネット又はインターネットのうちの少なくとも1つを介したデータ交換を行って良い。
図11に示された実施例では、プラズマ処理システム1100は、図10の実施例と相似して良い。図10を参照した際に説明したそれらの構成部品に加えて、プラズマ処理システム1100は、プラズマ密度の潜在的増大、及び/又はプラズマ処理の均一性の潜在的改善のため、静的な、又は機械的若しくは電磁的に回転する磁場システム1160をさらに有して良い。しかも制御装置1055は、回転速度及び磁場強度を制御するため、磁場システム1160と結合して良い。回転磁場の設計及び実装は当業者には周知である。
図12に示された実施例では、プラズマ処理システム1200は、図10又は図11の実施例と相似して良い。プラズマ処理システム1200はさらに上部電極1270を有して良い。RF発生装置1272からのRF出力が、任意のインピーダンス整合ネットワーク1274を介して上部電極1270と結合しうる。上部電極へ印加されるRF出力の周波数は約0.1MHz〜約200MHzの範囲であって良い。それに加えて、下部電極へ印加される出力の周波数は約0.1MHz〜約100MHzの範囲であって良い。しかも上部電極1270へのRF出力の印加を制御するため、制御装置1055は、RF発生装置1272及びインピーダンス整合ネットワーク1274と結合する。上部電極の設計及び実装は当業者には周知である。上部電極1270及びガス分配システム1040は、図示されているように、同一のチャンバ集合体内で設計されて良い。あるいはその代わりに上部電極1270は、基板1025の上方のプラズマと結合するRF出力分布を調節する多領域電極設計を有して良い。たとえば上部電極1270は、中央電極と端部電極とに区分化されて良い。
図13に示された実施例では、プラズマ処理システム1300は、図12の実施例と相似して良い。プラズマ処理システム1300はさらに、基板1025と対向する上部電極1270に結合する直流(DC)電源1390をさらに有して良い。上部電極1270は電極プレートを有して良い。電極プレートはシリコン含有電極プレートを有して良い。しかも電極プレートは、シリコンがドーピングされた電極プレートを有して良い。DC電源1390は可変DC電源を有して良い。それに加えてDC電源1390はバイポーラDC電源を有して良い。DC電源1390はさらに、DC電源1390の極性、電流、電圧、若しくはオン/オフ状態の監視、調節、又は制御のうちの少なくとも1つを実行するように構成されたシステムを有して良い。一旦プラズマが生成されると、DC電源1390は、弾道電子ビームの生成を容易にする。電気フィルタ(図示されていない)が、DC電源1390からのRF出力を分離するのに利用されて良い。
たとえばDC電源1390によって上部電極1270へ印加されるDC電圧は、約-2000ボルト[V]〜約1000ボルト[V]の範囲であって良い。望ましくは、DC電圧の絶対値は、約100[V]以上の値を有する。より望ましくは、DC電圧の絶対値は、約500[V]以上の値を有する。それに加えて、DC電圧は負の極性を有することが望ましい。さらにDC電圧は、上部電極70の表面に生成される自己バイアスよりも大きな絶対値を有する負の電圧である。基板ホルダ1020に対向する上部電極1270の表面はシリコン含有材料を有して良い。
図14に示された実施例では、プラズマ処理システム1400は、図10及び図11の実施例と相似して良い。プラズマ処理システム1400はさらに誘導コイル1480を有して良い。RF発生装置1482によるRF出力は、任意のインピーダンス整合ネットワーク1484を介して誘導コイル1480と結合する。誘導コイル1480からのRF出力は、誘電窓(図示されていない)を介してプラズマ処理領域1045に結合する。誘導コイル1480へ印加されるRF出力の周波数は約10MHz〜約100MHzの範囲であって良い。同様に、チャック電極へ印加される出力の周波数は約0.1MHz〜約100MHzの範囲であって良い。それに加えて、スロット型ファラデーシールド(図示されていない)が、処理領域1045内での誘導コイル1480とプラズマとの容量結合を減少させるのに用いられて良い。しかも制御装置1055は、誘導コイル1480への出力の印加を制御するため、RF発生装置1482及びインピーダンス整合ネットワーク1484と結合して良い。
代替実施例では、図15に図示されているように、プラズマ処理システム1500は図14の実施例と相似して良い。プラズマ処理システム1500はさらに誘導コイル1580を有して良い。誘導コイル1580は、変成器結合プラズマ(TCP)反応装置内の上方からプラズマ処理領域45とやり取りする「螺旋」コイル又は「パンケーキ」コイルである。誘導結合プラズマ(ICP)源又は変成器結合プラズマ(TCP)源の設計及び実装は、当業者には周知である。
あるいはその代わりにプラズマは、電子サイクロトロン共鳴(ECR)を用いて生成されて良い。さらに他の実施例では、プラズマはヘリコン波の放出から生成される。さらに他の実施例では、プラズマは、伝播する表面波から生成される。上述の各プラズマ源は当業者には周知である。
図16に図示された実施例では、プラズマ処理システム1600は、図10の実施例と相似して良い。プラズマ処理システム1600はさらに、表面波プラズマ(SWP)源1630を有して良い。SWP源1630はスロット型アンテナ−たとえばラジアルラインスロットアンテナ(RLSA)−を有して良い。マイクロ波出力は、出力結合システム1690を介してスロットアンテナへ結合する。
たとえ本発明のある典型的実施例のみが詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点からほとんど逸脱することなく、多くの修正型が可能であることをすぐに理解する。従って多くの係る修正型は、本発明の技術的範囲内に含まれるものと解される。













Claims (18)

  1. 露出したlow−k表面を保護する方法であって:
    上に生成されるlow−k絶縁層、該low−k絶縁層の上に位置する、パターンが内部に生成されている1層以上のマスク層と、を有する基板を供する工程;
    1つ以上のエッチングプロセスを用いて前記1層以上のマスク層中のパターンを前記low−k絶縁層へ転写することで前記low−k絶縁層中に構造上の特徴を形成する工程;
    前記1つ以上のエッチングプロセス中及び/又は前記1つ以上のエッチングプロセス後に、前記基板をC,H,及びNを含む膜生成処理組成物へ曝露することによって前記構造上の特徴の露出表面上に絶縁保護層を形成する工程;並びに、
    マスク除去プロセスを用いることによって前記1層以上のマスク層の少なくとも一部を除去する工程;
    を含み、
    前記膜生成処理組成物がピロール(C NH)又はアニリン(C NH )である、
    方法。
  2. 前記1層以上のマスク層の上面及び前記low−k絶縁層内の前記構造上の特徴の底面から前記絶縁保護層を異方的に除去しながら、前記構造上の特徴の側壁表面上の前記絶縁保護層の残りの部分を保持する工程をさらに含む、請求項1に記載の方法。
  3. 前記絶縁保護層を形成する工程が、プラズマを生成するプラズマ支援堆積プロセスを実行する工程を含む、請求項1に記載の方法。
  4. 前記プラズマ支援堆積プロセスが、上に前記基板が存在する基板ホルダへの高周波(RF)バイアスの印加を含まない、請求項に記載の方法。
  5. 前記基板の温度が50℃乃至100℃の範囲である、請求項に記載の方法。
  6. 圧力が200mTorr乃至1000mTorrの範囲である、請求項に記載の方法。
  7. 前記プラズマ支援堆積プロセスを実行する工程が、前記プラズマ支援堆積プロセスにおける少なくとも1つのプロセスパラメータを調節することで、前記マスク除去プロセスに対する前記絶縁保護層のエッチング耐性を向上させる工程を含む、請求項に記載の方法。
  8. 前記1層以上のマスク層が、ソフトマスク層、ハードマスク層、放射線感受性材料層、反射防止コーティング(ARC)層、有機平坦化層(OPL)、又は有機誘電層(ODL)からなる群から選ばれる1層以上の層を含む、請求項1に記載の方法。
  9. 前記ハードマスク層が金属ハードマスク層を含む、請求項に記載の方法。
  10. 前記ハードマスク層がTiNを含む、請求項に記載の方法。
  11. 前記構造上の特徴が、トレンチ−ビア構造である、請求項1に記載の方法。
  12. 前記絶縁保護層がCとNを含む、請求項1に記載の方法。
  13. 前記絶縁保護層がC,N,及びOを含む、請求項1に記載の方法。
  14. 前記1層以上のマスク層の少なくとも一部の除去に続いて、前記構造上の特徴の前記露出表面から前記絶縁保護層の残りの部分選択的に除去する工程をさらに含む、請求項1に記載の方法。
  15. 記パターンを転写する工程と前記絶縁保護層を形成する工程は、前記パターンの転写が前記low−k絶縁層中において完了するまで代わる代わる順次に複数サイクル実行される、請求項1に記載の方法。
  16. 前記low−k絶縁層が2.5未満の誘電率を有する、請求項1に記載の方法。
  17. 記パターンを転写する工程が:
    上に生成される前記low−k絶縁層、該low−k絶縁層の上に位置する第1ハードマスク層と、該第1ハードマスク層の上に位置する第2ハードマスク層と、を有する前記基板を受け取る工程;
    トレンチパターンが中に形成された第1リソグラフィマスク層を前記第2ハードマスク層上に準備する工程;
    前記トレンチパターンを前記第2ハードマスク層へ転写し、かつ、前記第1ハードマスク層上で止める工程;
    前記第1リソグラフィマスク層を除去する工程;
    ビアパターンが中に形成された第2リソグラフィマスク層を前記第2ハードマスク層上に準備する工程;
    前記ビアパターンを、前記第1ハードマスク層を貫通するように転写し、かつ、前記low−k絶縁層の少なくとも一部に入り込むように転写する工程;
    前記第2リソグラフィマスク層を除去する工程;
    前記第2ハードマスク層中の前記トレンチパターンを、前記第1ハードマスク層を貫通し、かつ、前記low−k絶縁層へ所定の深さにまで入り込むように転写することで、前記構造上の特徴を形成する工程であって、前記構造上の特徴はトレンチ−ビア構造である、工程;
    を有する、請求項1に記載の方法。
  18. 前記第2ハードマスクが金属を含む、請求項17に記載の方法。
JP2015518556A 2012-06-22 2013-06-19 エッチング及びアッシング中での低誘電率材料の側壁保護 Expired - Fee Related JP6049871B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/530,546 US8859430B2 (en) 2012-06-22 2012-06-22 Sidewall protection of low-K material during etching and ashing
US13/530,546 2012-06-22
PCT/US2013/046606 WO2013192323A1 (en) 2012-06-22 2013-06-19 Sidewall protection of low-k material during etching and ashing

Publications (2)

Publication Number Publication Date
JP2015521799A JP2015521799A (ja) 2015-07-30
JP6049871B2 true JP6049871B2 (ja) 2016-12-21

Family

ID=49769340

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015518556A Expired - Fee Related JP6049871B2 (ja) 2012-06-22 2013-06-19 エッチング及びアッシング中での低誘電率材料の側壁保護

Country Status (6)

Country Link
US (1) US8859430B2 (ja)
EP (1) EP2865000A4 (ja)
JP (1) JP6049871B2 (ja)
KR (1) KR101683405B1 (ja)
TW (1) TWI524423B (ja)
WO (1) WO2013192323A1 (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
EP2819162B1 (en) * 2013-06-24 2020-06-17 IMEC vzw Method for producing contact areas on a semiconductor substrate
US9018103B2 (en) * 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
JP6373150B2 (ja) * 2014-06-16 2018-08-15 東京エレクトロン株式会社 基板処理システム及び基板処理方法
KR102462349B1 (ko) * 2014-08-14 2022-11-01 어플라이드 머티어리얼스, 인코포레이티드 등각적 탄소 막들을 사용하여 임계 치수를 감소시키기 위한 방법
JP6456131B2 (ja) * 2014-12-18 2019-01-23 キヤノン株式会社 基板の加工方法及び液体吐出ヘッドの製造方法
US9570397B1 (en) 2015-12-10 2017-02-14 International Business Machines Corporation Local interconnect structure including non-eroded contact via trenches
JP6524535B2 (ja) * 2016-03-11 2019-06-05 パナソニックIpマネジメント株式会社 素子チップおよびその製造方法
JP2017163070A (ja) * 2016-03-11 2017-09-14 パナソニックIpマネジメント株式会社 素子チップおよびその製造方法
US10304725B2 (en) * 2016-08-26 2019-05-28 Tokyo Electron Limited Manufacturing methods to protect ULK materials from damage during etch processing to obtain desired features
JP6759004B2 (ja) * 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10008456B1 (en) 2017-03-27 2018-06-26 Globalfoundries Inc. Laminated spacers for field-effect transistors
US10242883B2 (en) 2017-06-23 2019-03-26 Lam Research Corporation High aspect ratio etch of oxide metal oxide metal stack
US10618805B2 (en) 2017-09-22 2020-04-14 Applied Materials, Inc. Method to reduce pore diameter using atomic layer deposition and etching
US10752496B2 (en) * 2017-09-22 2020-08-25 Applied Materials, Inc. Pore formation in a substrate
KR20190044196A (ko) 2017-10-20 2019-04-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
WO2019113482A1 (en) * 2017-12-08 2019-06-13 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
US10816724B2 (en) * 2018-04-05 2020-10-27 The Research Foundation For The State University Of New York Fabricating photonics structure light signal transmission regions
US10566194B2 (en) 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10964587B2 (en) * 2018-05-21 2021-03-30 Tokyo Electron Limited Atomic layer deposition for low-K trench protection during etch
US10342110B1 (en) * 2018-09-14 2019-07-02 Serendipity Technologies LLC. Plasma power generator (z-box and z-tower)
US11495456B2 (en) * 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
US20200135554A1 (en) * 2018-10-26 2020-04-30 Mattson Technology, Inc. Water Vapor Based Fluorine Containing Plasma For Removal Of Hardmask
US10755918B2 (en) 2018-11-16 2020-08-25 GlobalFoundries, Inc. Spacer with laminate liner
CN109727859A (zh) * 2018-12-29 2019-05-07 上海华力微电子有限公司 多层结构的顶部膜层的去除方法
US10832950B2 (en) 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
JP6646820B2 (ja) * 2019-02-20 2020-02-14 パナソニックIpマネジメント株式会社 素子チップの製造方法
US20220301853A1 (en) * 2019-07-03 2022-09-22 Lam Research Corporation Method for etching features using a targeted deposition for selective passivation
JP7493159B2 (ja) 2020-03-10 2024-05-31 パナソニックIpマネジメント株式会社 エッチング方法および素子チップの製造方法
JP7472634B2 (ja) 2020-04-28 2024-04-23 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP7045428B2 (ja) * 2020-09-02 2022-03-31 東京エレクトロン株式会社 被処理体を処理する方法
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing
US20220415648A1 (en) * 2021-06-28 2022-12-29 Applied Materials, Inc. Selective carbon deposition on top and bottom surfaces of semiconductor substrates
US20230095956A1 (en) * 2021-09-30 2023-03-30 International Business Machines Corporation Hard mask removal without damaging top epitaxial layer
WO2023249899A1 (en) * 2022-06-23 2023-12-28 Lam Research Corporation High aspect ratio etch with a metal or metalloid containing mask
KR20240059375A (ko) * 2022-10-27 2024-05-07 피에스케이 주식회사 기판 처리 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
JP2001176859A (ja) * 1999-12-20 2001-06-29 Canon Inc アッシング方法
US6429117B1 (en) * 2000-07-19 2002-08-06 Chartered Semiconductor Manufacturing Ltd. Method to create copper traps by modifying treatment on the dielectrics surface
DE10227663A1 (de) * 2002-06-20 2004-01-15 Infineon Technologies Ag Verfahren zum Versiegeln poröser Materialien bei der Chipherstellung und Verbindungen hierfür
US7553769B2 (en) 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
JP2006032864A (ja) * 2004-07-21 2006-02-02 Sony Corp 多層配線構造と多層配線構造を有する半導体装置とこれらの製造方法
JP4416595B2 (ja) * 2004-07-27 2010-02-17 富士通マイクロエレクトロニクス株式会社 エッチング方法及び絶縁膜のパターニング方法
US20060115981A1 (en) 2004-12-01 2006-06-01 Jyu-Horng Shieh Forming a dual damascene structure without ashing-damaged ultra-low-k intermetal dielectric
US7718536B2 (en) * 2005-06-16 2010-05-18 United Microelectronics Corp. Planarization process for pre-damascene structure including metal hard mask
US7482281B2 (en) * 2005-09-29 2009-01-27 Tokyo Electron Limited Substrate processing method
EP1785198A1 (en) * 2005-11-14 2007-05-16 Vlaamse Instelling voor Technologisch Onderzoek A method for atmospheric plasma deposition of conjugated polymer coatings
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
EP2361882B9 (en) * 2006-05-22 2017-03-15 Kabushiki Kaisha Toyota Chuo Kenkyusho Conductive member provided with amorphous carbon film and fuel cell separator
US7723237B2 (en) 2006-12-15 2010-05-25 Tokyo Electron Limited Method for selective removal of damaged multi-stack bilayer films
JP2008251897A (ja) * 2007-03-30 2008-10-16 Fujitsu Microelectronics Ltd 半導体装置の製造方法
US7741224B2 (en) 2007-07-11 2010-06-22 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
DE102007046846A1 (de) * 2007-09-29 2009-04-09 Advanced Micro Devices, Inc., Sunnyvale Seitenwandschutzschicht
US8183160B2 (en) * 2007-10-09 2012-05-22 Freescale Semiconductor, Inc. Method for manufacturing a semiconductor device and semiconductor device obtainable with such a method
US20090140418A1 (en) * 2007-11-29 2009-06-04 Li Siyi Method for integrating porous low-k dielectric layers
US20090242385A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of depositing metal-containing films by inductively coupled physical vapor deposition
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US9059028B2 (en) * 2009-06-25 2015-06-16 Nec Corporation Semiconductor device and method for manufacturing same
US20110244142A1 (en) * 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP5234047B2 (ja) * 2010-04-22 2013-07-10 富士通セミコンダクター株式会社 半導体装置の製造方法
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect

Also Published As

Publication number Publication date
WO2013192323A1 (en) 2013-12-27
KR101683405B1 (ko) 2016-12-06
KR20150021584A (ko) 2015-03-02
US20130344699A1 (en) 2013-12-26
EP2865000A1 (en) 2015-04-29
EP2865000A4 (en) 2016-03-02
TWI524423B (zh) 2016-03-01
JP2015521799A (ja) 2015-07-30
US8859430B2 (en) 2014-10-14
TW201417181A (zh) 2014-05-01

Similar Documents

Publication Publication Date Title
JP6049871B2 (ja) エッチング及びアッシング中での低誘電率材料の側壁保護
US8551877B2 (en) Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8592327B2 (en) Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
JP6285716B2 (ja) 金属インターコネクトのために絶縁積層体を選択的にエッチングする方法
US8809196B2 (en) Method of etching a thin film using pressure modulation
US7888267B2 (en) Method for etching silicon-containing ARC layer with reduced CD bias
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
US8080473B2 (en) Method for metallizing a pattern in a dielectric film
US7858270B2 (en) Method for etching using a multi-layer mask
US8252192B2 (en) Method of pattern etching a dielectric film while removing a mask layer
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
KR101688231B1 (ko) Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법
JP2014512096A (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
WO2002073674A1 (fr) Procede permettant de graver un film isolant organique et procede double damascene
US7947609B2 (en) Method for etching low-k material using an oxide hard mask
US7935640B2 (en) Method for forming a damascene structure
US7622390B2 (en) Method for treating a dielectric film to reduce damage
US20090246713A1 (en) Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160804

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161101

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161122

R150 Certificate of patent or registration of utility model

Ref document number: 6049871

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees