TWI524423B - 蝕刻及灰化期間低k材料之側壁保護 - Google Patents

蝕刻及灰化期間低k材料之側壁保護 Download PDF

Info

Publication number
TWI524423B
TWI524423B TW102122167A TW102122167A TWI524423B TW I524423 B TWI524423 B TW I524423B TW 102122167 A TW102122167 A TW 102122167A TW 102122167 A TW102122167 A TW 102122167A TW I524423 B TWI524423 B TW I524423B
Authority
TW
Taiwan
Prior art keywords
layer
low
insulating
plasma
protective layer
Prior art date
Application number
TW102122167A
Other languages
English (en)
Other versions
TW201417181A (zh
Inventor
千葉祐毅
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201417181A publication Critical patent/TW201417181A/zh
Application granted granted Critical
Publication of TWI524423B publication Critical patent/TWI524423B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

蝕刻及灰化期間低K材料之側壁保護
本發明關於一種減輕對低介電常數(low-k)材料損傷的方法。
低k材料在用於金屬互連之絕緣層堆疊中的實際執行面臨艱鉅挑戰。最終的理想是在金屬互連中結合低k材料並達成降低介電常數的全部益處,同時在產生最少損傷的情況下生產一結構堅固、圖案化的絕緣層。當低k損傷累積時,其在金屬互連中以較差效能及不佳可靠度顯露。
本發明的實施例關於一種減輕對低介電常數(低k)材料之損傷的方法。
根據一實施例,描述一種保護暴露之低k表面的方法。該方法包含提供其上形成有一低k絕緣層以及一或更多遮罩層之基板,該一或更多遮罩層位於該低k絕緣層上且具有一圖案形成於其中。此外,該方法包含使用一或更多蝕刻處理將該一或更多遮罩層中的圖案轉移至該低k絕緣層,以於該低k絕緣層中形成結構特徵部。該方法還包含在該一或更多蝕刻處理期間或之後,藉由使該基板暴露於含C、H、及N之膜形成化合物,在該結構特徵部的暴露表面上形成一絕緣保護層。其後,該方法包含利用一遮罩移除處理移除該一或更多遮罩層之至少一部份。
100‧‧‧圖案
110‧‧‧基板
120‧‧‧低k絕緣層
122‧‧‧損傷
124‧‧‧損傷
130‧‧‧遮罩層
200‧‧‧結構特徵部
210‧‧‧基板
212‧‧‧底部表面
220‧‧‧低k絕緣層
222‧‧‧側壁表面
230‧‧‧遮罩層
232‧‧‧頂部表面
240‧‧‧絕緣保護層
300‧‧‧流程圖
310-340‧‧‧步驟
400‧‧‧結構特徵部
410‧‧‧基板
420‧‧‧低k絕緣層
430‧‧‧遮罩層
440A‧‧‧絕緣保護層
440B‧‧‧剩餘之絕緣保護層
440C‧‧‧附加之絕緣保護層
440D‧‧‧剩餘之附加絕緣保護層
500‧‧‧流程圖
510-540‧‧‧步驟
600‧‧‧凹槽-介層孔結構
610‧‧‧基板
612‧‧‧金屬線
620‧‧‧頂蓋層
630‧‧‧低k絕緣層
632‧‧‧側壁表面
640‧‧‧硬遮罩層
642‧‧‧第二硬遮罩層
644‧‧‧第一硬遮罩層
650‧‧‧第一微影遮罩層
655‧‧‧第二微影遮罩層
660‧‧‧凹槽圖案
665‧‧‧介層孔圖案
670‧‧‧絕緣保護層
672‧‧‧頂部表面
674‧‧‧底部表面
675‧‧‧倒角
678‧‧‧底部表面
700‧‧‧凹槽-介層孔結構
770‧‧‧介層孔絕緣保護層
800‧‧‧凹槽-介層孔結構
870A‧‧‧第一絕緣保護層
870B‧‧‧殘留絕緣保護層
870C‧‧‧第二絕緣保護層
1000‧‧‧電漿處理系統
1010‧‧‧電漿處理腔室
1020‧‧‧基板支撐件
1022‧‧‧電極
1025‧‧‧基板
1026‧‧‧背面氣體供應系統
1028‧‧‧夾持系統
1030‧‧‧RF產生器
1031‧‧‧脈衝偏壓信號控制器
1032‧‧‧阻抗匹配網路
1040‧‧‧氣體分配系統
1045‧‧‧電漿處理區
1050‧‧‧真空泵系統
1055‧‧‧控制器
1100‧‧‧電漿處理系統
1160‧‧‧磁場系統
1200‧‧‧電漿處理系統
1270‧‧‧上部電極
1272‧‧‧RF產生器
1274‧‧‧阻抗匹配網路
1300‧‧‧電漿處理系統
1390‧‧‧直流電源
1400‧‧‧電漿處理系統
1480‧‧‧感應線圈
1482‧‧‧RF產生器
1484‧‧‧阻抗匹配網路
1500‧‧‧電漿處理系統
1580‧‧‧感應線圈
1600‧‧‧電漿處理系統
1630‧‧‧表面波電漿源
1690‧‧‧功率耦合系統
在附圖中:圖1A到1C顯示用以圖案化低k絕緣層之習知方法的示意圖;圖2A到2E顯示根據一實施例的圖案化低k絕緣層並同時保護暴露之低k表面之方法的示意圖;圖3顯示根據一實施例的保護暴露之低k表面的方法之流程圖;圖4A到4F顯示根據一實施例的圖案化低k絕緣層並同時保護暴露之低k表面之方法的示意圖;圖5顯示根據一實施例在移除遮罩層時保護暴露之低k表面的方法;圖6A到6J顯示根據一實施例的圖案化低k絕緣層並同時在移除遮罩層時保護暴露之低k表面之方法的示意圖;圖7A到7B顯示根據另一實施例的圖案化低k絕緣層並同時在移除遮罩層時保護暴露之低k表面之方法的示意圖;圖8A到8C顯示根據又另一實施例的圖案化一低k絕緣層並同時在移除遮罩層時保護暴露之低k表面之方法的示意圖;圖9提供在移除遮罩層時保護暴露之低k表面之例示性資料;圖10顯示根據一實施例的電漿處理系統的示意圖;圖11顯示根據另一實施例的電漿處理系統的示意圖;圖12顯示根據另一實施例的電漿處理系統的示意圖;圖13顯示根據另一實施例的電漿處理系統的示意圖;圖14顯示根據另一實施例的電漿處理系統的示意圖;圖15顯示根據另一實施例的電漿處理系統的示意圖;以及圖16顯示根據另一實施例的電漿處理系統的示意圖。
為了解釋而不是限制的目的,在以下描述中列出具體細節,例如處理系統的特定幾何、其中所使用的各種元件及製程之描述。然而,應當瞭解,本發明可在背離這些具體細節的其它實施例中執行。
同樣的,為了解釋的目的,故提出具體數目、材料、以及配置以提供對本發明之透徹的瞭解。然而,本發明可在不具這些具體細節的情況下實施。此外,應當瞭解,在附圖中所示的各實施例是說明性的圖示並且不一定是按比例繪製。
各種操作將以最能幫助瞭解本發明的方式按順序描述為多個獨立操作。但是,描述的順序不應被認為是在暗示這些操作一定是相依於順序的。尤其,這些操作不需要按所示順序來執行。所述的操作可以不同於所述實施例的順序來執行。各種額外的操作可被執行,且/或所述操作可在另外的實施例中省略。
於此使用的「基板」泛指根據本發明受處理的物體。基板可以包括裝置的任何材料部份或結構,特別是半導體或其他電子裝置,並且可為例如像是半導體晶圓的基底基板結構、或如薄膜的基底基板結構上或上方的一層。因此,不欲將基板限制於任何特定的基底結構、下方層或上方層、圖案化或未圖案化的,而是設想包括任何這樣的層或基底結構,以及層及/或基底結構的任意組合。以下描述可能引述特定類型的基板,但這只是為了說明的目的,並不是限制。
如以上所述在半導體的製造過程中,當製造用於金屬互連之絕緣層堆疊時,低k材料的整合帶來了許多挑戰。舉例而言,圖1A提供了圖案化低k材料之習知方法的圖示。此方法由在基板110上製備低k絕緣層120開始。之後,製備其中形成有圖案100的遮罩層130而覆蓋在該低k絕緣層120上。圖案100可包含介層孔圖案或凹槽圖案。
如圖1B所示,執行蝕刻處理以將圖案100轉移到低k絕緣層120中或穿透低k絕緣層120。低k絕緣層120對蝕刻化學(例如,電漿化學)的暴露可能會對低k絕緣層120產生初始損傷122,特別是沿著其中形成之圖案的側壁。此外,如圖1C所示,當遮罩層130的殘餘部份 經由灰化處理移除時,低k絕緣層120暴露的部份會產生額外的損傷124。
當遮罩層130含有像是光阻之有機材料時,灰化處理通常使用例如含氧電漿之含氧化學來移除該有機材料。在這種情況下,含氧化學可能導致低k絕緣層120中的碳以及甲基(即,CH3)的損耗。低k絕緣層120的去甲基化在含SiCOH層中特別明顯。因此,已在這些程序中受損的低k絕緣層120會有較高的介電常數、容易經由其漏電、以及較高的親水性的問題。再者,此等損傷會顯現為側壁彎曲或側壁底切(相對於上方層)。因此當填充或金屬化圖案100時,可能會形成金屬空隙而影響良率及可靠度。
此外,當遮罩層130含有例如TiN金屬硬遮罩之金屬材料時,灰化處理通常使用例如含NF3電漿之含氟化學來移除該金屬材料。在這種情況下,含氟化學可能導致嚴重的側壁彎曲或側壁底切(相對於上方層)。因此當填充或金屬化圖案100時,可能會形成金屬空隙而影響良率及可靠度。
因此,根據不同的實施例,敘述一種用來保護暴露的低k表面以減少損傷的方法。此方法圖示於圖2A至2E中,並藉由圖3中之流程圖300而呈現。如圖2A所示及圖3所呈現,流程圖300開始於步驟310,提供其上形成有一層以上之遮罩層230以及低k絕緣層220之基板210,其中使用微影製程而在該一層以上之遮罩層230中形成圖案。
如圖2A所示,在步驟320中,使用蝕刻製程將圖案轉移至低k絕緣層220,以於其中形成結構特徵部200。結構特徵部200可包含介層孔、凹槽或線、或凹槽-介層孔結構,其可利用任何習知的技術來製備,包括但不限於單一鑲嵌處理方式、雙重鑲嵌處理方式、凹槽優先金屬硬遮罩(trech-first metal hard mask,TFMHM)圖案化處理方式、先介層孔後凹槽(via-first-trench-last,VFTL)圖案化處理方式等。
該基板210可包括主體矽基板、單晶矽(摻雜或未摻雜)基板、絕緣體載半導體(semiconductor-on-insulator,SOI)基板、或任何其它含有例如Si、SiC、SiGe、SiGeC、Ge、GaAs、InAs、InP、以及其它III/V 或II/VI化合物半導體、或其任意組合的半導體基板(II、III、V、VI族是參照元素週期表中古典的或舊的IUPAC表示法;根據修訂的或新的IUPAC表示法,這些族將分別表示為2、13、15、16族)。該基板可以是任何尺寸,例如200mm(毫米)基板、300mm基板、450mm基板、或甚至是更大的基板。
低k絕緣層220可包括低介電常數(即低k)或超低介電常數(即超低k)之介電層,該介電層具有小於大約為4的二氧化矽(SiO2)之介電常數(例如,熱二氧化矽的介電常數可以是在3.8到3.9的範圍之間)的標稱介電常數。更具體來說,低k絕緣層220可具有小於3.7的介電常數、或小於2.5的介電常數、或介於1.6到3.7之間的介電常數。低k絕緣層220可以是多孔或非多孔的。
例如,該低k絕緣層220可包括含SiCOH材料。此外,例如,低k絕緣層220可包括多孔無機-有機混合薄膜,該多孔無機-有機混合薄膜係由單相之例如具有CH3鍵之矽氧化物系基質組成,CH3鍵阻止固化或沉積過程期間薄膜的完全緻密化以製造小的空隙(或孔)。再或者,例如,低k絕緣層220可包括多孔無機-有機混合薄膜,該多孔無機-有機混合薄膜係由至少兩相之例如碳掺雜矽氧化物系基質組成,其具有在固化過程中分解並蒸發的有機材料(例如,致孔劑(porogen))形成之孔隙。
低k絕緣層220可使用氣相沉積技術來形成,例如化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沈積(ALD)、電漿增強ALD(PEALD)、物理氣相沈積(PVD)、或離子化PVD(iPVD),或是旋塗技術,例如商業上可由Tokyo Electron Limited(TEL)取得的Clean Track ACT 8 SOD(旋塗式介電質)、ACT 12 SOD、及Lithius塗佈系統中所提供者。Clean Track ACT 8(200mm)、ACT 12(300mm)、及Lithius(300mm)塗佈系統提供用於SOD材料的塗佈、烘烤、以及固化工具。該軌道系統可配置來處理100mm、200mm、300mm、或更大尺寸的基板。其它用來在基板上形成薄膜的系統及方法是熟悉旋塗技術和氣相沉積技術領域者所熟知的。
該一層以上之遮罩層230可包含一輻射敏感性材料層,例 如光阻。該光阻可包含248nm(nanometer,奈米)光阻、193nm光阻、157nm光阻、極紫外光(EUV,extreme ultraviolet)光阻、或電子束敏感性光阻。該光阻可用軌道系統來形成。例如,該軌道系統可包含商業上可自Tokyo Electron Limited(TEL)取得的Clean Track ACT 8、ACT 12、或Lithius光阻塗佈及顯影系統。其它用來在基板上形成光阻層的系統及方法是熟悉旋塗光阻技術領域者所熟知的。
此外,該一層以上之遮罩層230可包括抗反射塗佈(anti-reflective coating,ARC)層,例如商業上可自Shin Estu Chemical Co.,Ltd.的Sepr-Shb Aseries SiARC取得的含矽ARC。該選擇性ARC層,可藉由例如旋塗技術、或氣相沉積處理來塗佈。
此外,該一層以上之遮罩層230可包括有機平坦化層(organic planarization layer,OPL)或有機介電層(organic dielectric layer,ODL)。該ODL或OPL可包括感光有機聚合物或蝕刻型有機化合物。舉例來說,該感光有機聚合物可為聚丙烯酸酯樹脂、環氧樹脂、酚醛樹脂、聚醯胺樹脂、聚醯亞胺樹脂、不飽和聚酯樹脂、聚苯醚樹脂、聚苯硫醚樹脂、或苯並環丁烯(BCB)。這些材料可藉由旋塗技術或氣相沉積技術來形成。
再者,該一層以上之遮罩層230可包括硬遮罩層。該硬遮罩層可包括金屬或含金屬材料。此外,該硬遮罩層可包括含矽材料或含碳材料。舉例來說,含矽或含碳材料可包含矽氧化物(SixOy)、矽氮化物(SixNy)、矽氮氧化物(SixOyNz)、矽碳氧化物(SixOyCz)、或碳(類鑽石碳(DLC)、非晶碳(a-C)、或石磨)、或其任何組合。
凹槽可使用一系列的微影和選擇性的蝕刻步驟在該一層以上之遮罩層230中形成。一旦製備完成,該圖案(或一系列已製備圖案)可利用一或更多蝕刻處理(例如一或更多電漿蝕刻處理)轉移到下方之薄膜(亦即低k絕緣層220)。
如圖2B所示,在步驟330中,在該一層以上之遮罩層230以及低k絕緣層220中所形成之結構特徵部200的暴露表面上形成絕緣保護層240。絕緣保護層240包含C與N。絕緣保護層240可藉由在包含 C、N、及選擇性的H之環境中執行氣相沉積製程而形成。絕緣保護層240可包含C、N、及選擇性的O。絕緣保護層240可包含C、N、O、及選擇性的F。
在一實施例中,絕緣保護層240可藉由執行非電漿氣相沉積製程、或電漿輔助之氣相沉積製程而形成。各個沉積製程可包含使用一膜形成處理組成物,其含有雜環芳香族有機化合物或芳香胺作為初始成分。該膜形成處理組成物可包括吡咯(C4H4NH)或苯胺(C6H5NH2),亦即: 吡咯:
苯胺:
對於非電漿氣相沉積製程、或電漿輔助之氣相沉積製程任一者而言,應選擇膜形成處理組成物之成分,其係於大氣壓力或真空壓力下單獨或與載氣(如稀有氣體元素或氮氣)混合而以氣體/蒸氣相存在。
電漿輔助沉積製程可包含施加射頻(RF)或微波功率以產生電漿。然而,電漿輔助沉積製程可以不將射頻(RF)偏壓施加至其上安置基板210之基板支撐件。
使用電漿輔助沉積製程時,用以產生電漿之RF功率的範圍可由約50W(瓦特)至約1000W,較佳由約50W至約500W,更加由約50W至約200W。壓力之範圍可自約1mTorr(毫托耳)至約10000mTorr,較佳自約100mTorr至約1000mTorr,更佳自約400mTorr至約600mTorr。基板210之溫度範圍可自約0℃至約300℃,較佳自約30℃至約100℃,更佳自約50℃至約70℃。作為一例,用於電漿輔助沉積製程之電漿條件可包括13.56MHz之RF頻率、100W之RF功率(耦合至上電極及/或下電極,上電極係相對於其上安置有基板的下電極)、500mTorr之壓力、約30sccm之處理組成物流量、約60℃之基板溫度、以及約30mm之介於上電極與下電極間之電極間距。
薄膜厚度之範圍可自約5nm至約200nm,較佳自約10nm 至約100nm,更加自約30nm至約70nm(如約50nm)。此外,當形成絕緣保護層240時,可調整非電漿或電漿輔助沉積製程中的至少一製程參數,以改變薄膜厚度及/或調整絕緣保護層240對於後續灰化/蝕刻/清洗製程(可用於移除該一層以上之遮罩層230的至少一部分)之蝕刻抗性。
選擇性地,如圖2C所示,絕緣保護層240可自該一層以上之遮罩層230的頂部表面232與低k絕緣層220中結構特徵部200之底部表面212而異向性的移除,同時保留結構特徵部200之側壁表面222上之絕緣保護層240的剩餘部分。可利用一或更多之蝕刻製程而自該一層以上之遮罩層230的頂部表面232與結構特徵部200之底部表面212移除絕緣保護層240。此一或更多之蝕刻製程可包括乾式電漿蝕刻處理或乾式非電漿蝕刻處理。
在一實施例中,乾式電漿蝕刻處理包括異向性電漿蝕刻處理。異向性電漿蝕刻處理可包括從含C及F的蝕刻處理組成物形成電漿。例如,蝕刻處理組成物可包含氟碳化物(即,CxFy,其中x和y等於或大於1)。
此外,例如,該蝕刻處理組成物可包含鹵甲烷氣體。該鹵甲烷氣體可包括單取代鹵甲烷(例如,CH3F)、雙取代鹵甲烷(例如,CH2F2)、三取代鹵甲烷(例如,CHF3),或四取代鹵甲烷(例如,CF4)。
此外,例如,該蝕刻處理組成物可包括碳氫化合物(即,CxHy,其中x和y等於或大於1)。另外,例如,該蝕刻處理組成物可包括具有化學式CxHyRz的添加劑氣體,其中R是鹵族元素,x和y等於或大於1,並且z等於或大於0。
此外,例如,該蝕刻處理組成物可包括惰性氣體。該蝕刻處理組成物可包括含氧氣體、含氫氣體、含氮氣體、或含碳氣體、或其二或更多者的任意組合。例如,該蝕刻處理組成物可包括H2、O2、N2、CO、CO2、NH3、NO、N2O、或NO2、或其二或更多者的任意組合。該蝕刻處理組成物可更包括含氟氣體、含氯氣體、含溴氣體、或鹵化物氣體。例如,該蝕刻處理組成物可更包括HBr、F2、Cl2、Br2、BCl3、NF3、或SF6
在一實施例中,該異向性電漿蝕刻處理之蝕刻處理組成物可包括惰性氣體以及選自由CF4、C4F6、C4F8、及C5F8組成之群組的一或更多氣體。在另一實施例中,該異向性電漿蝕刻處理之蝕刻處理組成物可包括CF4及Ar。
該異向性電漿蝕刻處理可包括準備蝕刻處理配方。該蝕刻處理配方可包括由一或更多處理參數所定義的一或更多處理條件。該一或更多處理條件可藉由設定一或更多處理參數來設立,例如:設定蝕刻處理組成物中各個成分的流率;設定電漿處理系統中的壓力;設定施加在支撐及電氣偏壓基板的基板支撐件內之下電極的第一射頻(RF)信號之第一RF功率位準;設定施加在下電極、或在基板上方與下電極相對的來源天線或上電極的第二RF信號之第二RF(或微波)功率位準;設定電漿處理系統中的溫度條件;設定基板及基板支撐件的溫度條件;設定蝕刻時間;及/或設定過度蝕刻時間。在異向性電漿蝕刻處理期間,可改變處理參數的任一者。
該異向性電漿蝕刻處理可包括施加射頻(RF)偏壓於放置基板210的基板支撐件。基板210的溫度可在約0度C到約100度C的範圍內。此外,當執行異向性電漿蝕刻處理時,可調整異向性電漿蝕刻處理中至少一處理參數來控制結構特徵部200的臨界尺寸(critical dimension,CD)、結構特徵部200的側壁輪廓等。
在另一實施例中,可執行過度蝕刻處理。
在步驟340中,如圖2D所示,遮罩移除處理係執行以移除至少部份的該一層以上之遮罩層230。該遮罩移除處理可包括一或更多灰化/蝕刻/剝除步驟。
在一實施例中,該遮罩移除處理可包括電漿灰化處理。舉例來說,電漿灰化處理可包括使用含有O、N、或H、或其二者以上之任意組合的處理組成物來產生電漿。在另一實施例中,遮罩移除處理可包括將基板210暴露於含氧環境。含氧環境可包括單原子氧(O)、雙原子氧(O2)、三原子氧(臭氧,O3)、含氧分子、離子化氧、介穩態(metastable)氧、或氧的任何激發狀態、或其二者以上之任意組合。例如,含氧環境可包括 O、O2、O3、CO、CO2、NO、N2O、或NO2、或其二者以上之任意組合。再者,舉例來說,含氧環境可包括O2
選擇性地,如圖2E所示,在執行遮罩移除處理之後,可選擇性地將絕緣保護層240之任何剩餘部分自結構特徵部200之側壁表面222移除。在一實施例中,選擇性地將絕緣保護層240之剩餘部分自結構特徵部200之側壁表面222移除係藉由乾式或濕式清洗處理而達成。例如,濕式清洗處理可包含將絕緣保護層之剩餘部分沉浸在HF溶液中,如稀釋之HF水溶液。
在一實施例中,用以形成絕緣保護層之沉積處理、選擇性的異向性電漿蝕刻處理、與遮罩移除處理在相同之電漿處理系統中執行。在另一實施例中,用以形成絕緣保護層之沉積處理、選擇性的異向性電漿蝕刻處理、與遮罩移除處理在不同之電漿處理系統中執行。
在另一實施例中,絕緣保護層之形成步驟、選擇性的異向性電漿蝕刻處理、與遮罩移除處理其中至少兩者係重複多個循環(如二或更多之循環),直到結構特徵部200之側壁表面222受到充分的保護為止。
根據另一實施例,敘述一種用來保護暴露的低k表面以減少損傷的方法。此方法圖示於圖4A至4F中。如圖4A所示,接收其上形成有遮罩層430以及低k絕緣層420之基板410,其中使用微影製程與選擇性地一或更多之蝕刻製程而在該遮罩層430中形成圖案。
如圖4B所示,使用一或更多之蝕刻製程將圖案由遮罩層430部份轉移至低k絕緣層420,以於其中形成結構特徵部400的至少初始階段。結構特徵部400可包含介層孔、凹槽或線、或凹槽-介層孔結構,其可利用或根據任何習知的技術來製備,包括但不限於單一鑲嵌處理方式、雙重鑲嵌處理方式、凹槽優先金屬硬遮罩(trech-first metal hard mask,TFMHM)圖案化處理方式、先介層孔後凹槽(via-first-trench-last,VFTL)圖案化處理方式等。
如圖4C所示,在遮罩層430以及部分形成在低k絕緣層420中之結構特徵部400的暴露表面上形成絕緣保護層440A。其後,如圖4D所示,利用一或更多額外之蝕刻製程使結構特徵部400擴展而更深入低 k絕緣層420中。如圖4D所示,在該一或更多額外之蝕刻製程期間,絕緣保護層440A可自遮罩層430至少部分移除,且可能沿著低k絕緣層420中結構特徵部400之側壁變薄,而留下剩餘之絕緣保護層440B。然而,擴展之結構特徵部400側壁上剩餘之絕緣保護層440B的存在可減少低k絕緣層420與該一或更多額外之蝕刻製程之蝕刻化學(如電漿化學)間之交互作用。
接著,如圖4E所示,在遮罩層430以及部分形成在低k絕緣層420中之結構特徵部400的暴露表面上形成附加之絕緣保護層440C。其後,如圖4F所示,利用一或更多再額外之蝕刻製程使結構特徵部400擴展而更深入低k絕緣層420中。如圖4F所示,在該一或更多再額外之蝕刻製程期間,附加之絕緣保護層440C可自遮罩層430至少部分移除,且可能沿著低k絕緣層420中結構特徵部400之側壁變薄,而留下剩餘之附加絕緣保護層440D。在結構特徵部400形成進入並穿透低k絕緣層420期間所施行的步驟順序可保護擴展之結構特徵部400的側壁,並可於結構特徵部之側壁暴露於蝕刻化學時減少損傷。
圖4A至4F中所說明之蝕刻-沉積-蝕刻-沉積(等)處理方式可用以在圖案化期間保護低k絕緣層420之暴露表面,同時擴展結構特徵部400,也因此限制了低k絕緣層420與蝕刻化學間之交互作用。受限制之交互作用可減少對於低k絕緣層420之損傷。
在其他實施例中,當遮罩層含有例如Ti或TiN之金屬時,移除遮罩層的處理典型地使用例如含氟電漿之含氟化學來移除含金屬材料。譬如,NF3基電漿已用於移除含TiN金屬硬遮罩層。但是,除了其他者外,含氟電漿蝕刻可能導致遮罩底切及形成於低k絕緣層內之圖案的側壁彎曲、倒角侵蝕、噴濺金屬的噴濺及再沉積所導致的低k絕緣層的金屬污染。
因此,根據不同的實施例,敘述一種用來保護暴露的低k表面以減少在移除遮罩層時之損傷的方法。該方法係藉由圖5中的流程圖500來表示。如圖5所示,該流程圖500開始於步驟510中利用一或更多蝕刻處理以及覆蓋於低k絕緣層上的硬遮罩層,在基板上的低k絕緣層中 形成至少一部份的凹槽-介層孔結構。該凹槽-介層孔結構可用任何習知的技術來製備,包括但不限於單一鑲嵌整合處理方式、雙重鑲嵌整合處理方式、凹槽優先金屬硬遮罩(trech-first metal hard mask,TFMHM)整合處理方式、先介層孔後凹槽(via-first-trench-last,VFTL)整合處理方式等。
例如,圖6A到6J以圖示說明用來製造低k絕緣層630中之凹槽-介層孔結構600的TFMHM整合處理方式。如圖6A所示,接收到的基板610具有形成在其上的低k絕緣層630、以及覆蓋於低k絕緣層630上之至少一硬遮罩層640。該至少一硬遮罩層640可包括覆蓋於低k絕緣層630上之第一硬遮罩層644、以及覆蓋於第一硬遮罩層644上之第二硬遮罩層642。此外,至少一頂蓋層620可被插入於低k絕緣層630及基板610之間。
如圖6A到6J所示,該凹槽-介層孔結構600係形成於低k絕緣層630及其它層之集合中。隨後,凹槽-介層孔結構600係以或更多保形薄膜作為內襯,其中該一或更多保形薄膜包括金屬阻障層、金屬黏結層、或金屬晶種層、或其二或更多者的組合。在形成內襯之後,凹槽-介層孔結構600係利用例如Cu的金屬填充,並使用例如化學機械平坦化(chemical-mechanical planarization,CMP)加以平坦化以形成金屬互連並與基板610中之(複數)金屬線612達成電氣接觸。
該基板610可包括主體矽基板、單晶矽(摻雜或未摻雜)基板、絕緣體載半導體(semiconductor-on-insulator,SOI)基板、或任何其它含有例如Si、SiC、SiGe、SiGeC、Ge、GaAs、InAs、InP、以及其它III/V或II/VI化合物半導體、或其任意組合的半導體基板(II、III、V、VI族是參照古典的或舊的元素週期表中的IUPAC表示法;根據修訂的或新的IUPAC表示法,這些族將分別表示為2、13、15、16族)。該基板可以是任何尺寸,例如200mm(毫米)基板、300mm基板、450mm基板、或甚至是更大的基板。如以上所述,基板610可以包括其它層,例如其它先前形成且電氣接觸將對其作成之互連層。
低k絕緣層630可包括低介電常數(即低k)或超低介電常數(即超低k)之介電層,該介電層具有小於大約為4的二氧化矽 (SiO2)之介電常數(例如,熱二氧化矽的介電常數可以是在3.8到3.9的範圍之間)的標稱介電常數。更具體來說,低k絕緣層630可具有小於3.7的介電常數、或小於2.5的介電常數、或介於1.6到3.7之間的介電常數。低k絕緣層630可以是多孔或非多孔的。
例如,該低k絕緣層630可包括含SiCOH材料。此外,例如,低k絕緣層630可包括多孔無機-有機混合薄膜,該多孔無機-有機混合薄膜係由單相之例如具有CH3鍵之矽氧化物系基質組成,CH3鍵阻止固化或沉積過程中薄膜的完全緻密化以製造小的空隙(或孔)。再或者,例如,低k絕緣層630可包括多孔無機-有機混合薄膜,該多孔無機-有機混合薄膜係由至少兩相之例如碳掺雜矽氧化物系基質組成,其具有在固化過程中分解並蒸發的有機材料(例如,致孔劑(porogen))形成之孔隙。
低k絕緣層630可使用氣相沉積技術來形成,例如化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沈積(ALD)、電漿增強ALD(PEALD)、物理氣相沈積(PVD)、或離子化PVD(iPVD),或是旋塗技術,例如商業上可由Tokyo Electron Limited(TEL)取得的Clean Track ACT 8 SOD(旋塗式介電質)、ACT 12 SOD、及Lithius塗佈系統中所提供者。Clean Track ACT 8(200mm)、ACT 12(300mm)、及Lithius(300mm)塗佈系統提供用於SOD材料的塗佈、烘烤、以及固化工具。該軌道系統可配置來處理100mm、200mm、300mm、或更大尺寸的基板。其它用來在基板上形成薄膜的系統及方法是熟悉旋塗技術和氣相沉積技術領域者所熟知的。
如以上所述,該至少一硬遮罩層640可包括覆蓋於低k絕緣層630上之第一硬遮罩層644,以及覆蓋於第一硬遮罩層644上之第二硬遮罩層642。第一硬遮罩層644可包括含Si材料或含C材料。該含Si或C材料可包括例如矽氧化物(SixOy)、矽氮化物(SixNy)、矽氮氧化物(SixOyNz)、矽碳氧化物(SixOyCz)、或碳(類鑽石碳(DLC)、非晶碳(a-C)、或石墨)、或其任意組合。此外,第二硬遮罩層642可包含金屬、或含金屬材料。第二硬遮罩層642可包含鈦(Ti)、鈦氮化物(TiNy)、鉭(Ta)、鉭氮化物(TaNy)、鋁(Al)、或鋁-銅合金(Al- Cu)。例如,第二硬遮罩層642可包含Ti或TiN。
該頂蓋層620可包括單層或多層。例如,該頂蓋層620可包含氮摻雜矽碳化物或Si-N-C-H。此外,例如,頂蓋層620可包含矽氮化物(SiNy)、矽碳化物(SiCy)、矽碳氮化物(SiCxNy)、或SiCxNyHz、或其二或更多者的組合。頂蓋層620可使用氣相沉積製程形成,例如化學氣相沉積(CVD)、或電漿增強CVD(PECVD)。此外,頂蓋層620可包括設置於低k絕緣層630及頂蓋層620之間、及/或頂蓋層620和基板610之間的一緩變層。
如圖6A所示,其中形成有凹槽圖案660的第一微影遮罩層650係製備在第二硬遮罩層642上。該第一微影遮罩層650可包含一輻射敏感性材料層,例如光阻。該光阻可包含248nm(nanometer,奈米)光阻、193nm光阻、157nm光阻、極紫外光(EUV,extreme ultraviolet)光阻、或電子束敏感性光阻。該光阻可用軌道系統來形成。例如,該軌道系統可包含商業上可自Tokyo Electron Limited(TEL)取得的Clean Track ACT 8、ACT 12、或Lithius光阻塗佈及顯影系統。其它用來在基板上形成光阻層的系統及方法是熟悉旋塗光阻技術領域者所熟知的。
此外,第一微影遮罩層650可包括抗反射塗佈(anti-reflective coating,ARC)層,例如商業上可自Shin Estu Chemical Co.,Ltd.的Sepr-Shb Aseries SiARC取得的含矽ARC。該選擇性ARC層,可藉由例如旋塗技術、或氣相沉積處理來塗佈。
此外,該第一微影遮罩層650可包括有機平坦化層(organic planarization layer,OPL)或有機介電層(organic dielectric layer,ODL)。該ODL或OPL可包括感光有機聚合物或蝕刻型有機化合物。舉例來說,該感光有機聚合物可為聚丙烯酸酯樹脂、環氧樹脂、酚醛樹脂、聚醯胺樹脂、聚醯亞胺樹脂、不飽和聚酯樹脂、聚苯醚樹脂、聚苯硫醚樹脂、或苯並環丁烯(BCB)。這些材料可藉由旋塗技術或氣相沉積技術來形成。
凹槽圖案660可使用一系列的微影和選擇性的蝕刻步驟在第一微影遮罩650中形成。一旦製備完成,該圖案(或一系列已製備圖 案)可利用一或更多蝕刻處理(例如一或更多電漿蝕刻處理)轉移到下方之薄膜(亦即至少一硬遮罩層640以及低k絕緣層630)。
如圖6B所示,該凹槽圖案660轉移到第二硬遮罩層642之中,並且凹槽圖案660的轉移停在第一硬遮罩層644。將凹槽圖案660轉移到第二硬遮罩層642中包括執行一或更多蝕刻處理,例如一或更多電漿蝕刻處理。之後,利用一或更多灰化及/或剝除處理來移除第一微影遮罩層650。
如圖6C所示,其中形成有介層孔圖案665之第二微影遮罩層655係製備在第二硬遮罩層642上。該第二微影遮罩層655可包含例如光阻之輻射敏感性材料層。該光阻可包含248nm光阻、193nm光阻、157nm光阻、極紫外光(EUV,extreme ultraviolet)光阻、或電子束敏感性光阻。該光阻可用一軌道系統來形成。例如,該軌道系統可包含商業上可自Tokyo Electron Limited(TEL)取得的Clean Track ACT 8、ACT 12、或Lithius光阻塗佈及顯影系統。其它用來在基板上形成光阻層的系統及方法是熟悉旋塗光阻技術領域者所熟知的。
如圖6D所示,介層孔圖案665係轉移穿透第一硬遮罩層644,並且至少部份穿透低k絕緣層630。介層孔圖案665轉移到低k絕緣層630中之步驟包括執行一或更多蝕刻處理,例如一或更多電漿蝕刻處理。之後,如圖6E所示,利用一或更多灰化及/或剝除處理來移除第二微影遮罩層655。
如圖6F所示,第二硬遮罩層642中之凹槽圖案660係轉移穿透第一硬遮罩層644並至低k絕緣層630中達一預定深度來形成凹槽-介層孔結構600。在轉移凹槽圖案660到低k絕緣層630中期間,該介層孔圖案665可完全轉移穿透低k絕緣層630及/或穿透頂蓋層620以暴露側壁表面632。
在步驟520中,如圖6G所示,絕緣保護層670係沉積在凹槽-介層孔結構600之暴露表面上。絕緣保護層670包含C與N。絕緣保護層670可藉由在包含C、N、及選擇性的H之環境中執行氣相沉積製程而形成。絕緣保護層670可包含C、N、及選擇性的O。絕緣保護層670可包 含C、N、O、及選擇性的F。
在一實施例中,絕緣保護層670可藉由執行非電漿氣相沉積製程、或電漿輔助之氣相沉積製程而形成。各個沉積製程可包含使用一膜形成處理組成物,其含有雜環芳香族有機化合物或芳香胺作為初始成分。該膜形成處理組成物可包括吡咯(C4H4NH)或苯胺(C6H5NH2)。
在步驟530中,如圖6H所示,一或更多蝕刻處理係選擇性執行以異向性地從凹槽-介層孔結構600上的至少一表面移除至少部份的絕緣保護層670。例如,絕緣保護層670可從第二硬遮罩層642的頂部表面672、凹槽圖案660的底部表面674、以及介層孔圖案665的底部表面678異向性地移除,並同時保留在凹槽-介層孔結構600的側壁表面632上的絕緣保護層之殘留部份。凹槽-介層孔結構600中的絕緣保護層670之殘留部份可保護側壁表面632以及在介層孔圖案665的側壁表面632與凹槽圖案660的底部表面674交會之轉角處的倒角675。從凹槽-介層孔結構600的至少一表面移除絕緣保護層可利用一或更多蝕刻處理來執行。該一或更多蝕刻處理可包括乾式電漿蝕刻處理或乾式非電漿蝕刻處理。
在一實施例中,乾式電漿蝕刻處理包括異向性電漿蝕刻處理。異向性電漿蝕刻處理可包括從含C及F的蝕刻處理組成物形成電漿。例如,蝕刻處理組成物可包含氟碳化物(即,CxFy,其中x和y等於或大於1)。
此外,例如,該蝕刻處理組成物可包含鹵甲烷氣體。該鹵甲烷氣體可包括單取代鹵甲烷(例如,CH3F)、雙取代鹵甲烷(例如,CH2F2)、三取代鹵甲烷(例如,CHF3),或四取代鹵甲烷(例如,CF4)。
此外,例如,該蝕刻處理組成物可包括碳氫化合物(即,CxHy,其中x和y等於或大於1)。另外,例如,該蝕刻處理組成物可包括具有化學式CxHyRz的添加劑氣體,其中R是鹵族元素,x和y等於或大於1,並且z等於或大於0。
此外,例如,該蝕刻處理組成物可包括惰性氣體。該蝕刻處理組成物可包括含氧氣體、含氫氣體、含氮氣體、或含碳氣體、或其二 或更多者的任意組合。例如,該蝕刻處理組成物可包括H2、O2、N2、CO、CO2、NH3、NO、N2O、或NO2、或其二或更多者的任意組合。該蝕刻處理組成物可更包括含氟氣體、含氯氣體、含溴氣體、或鹵化物氣體。例如,該蝕刻處理組成物可更包括HBr、F2、Cl2、Br2、BCl3、NF3、或SF6
在一實施例中,該異向性電漿蝕刻處理之蝕刻處理組成物可包括惰性氣體以及選自由CF4、C4F6、C4F8、及C5F8組成之群組的一或更多氣體。在另一實施例中,該異向性電漿蝕刻處理之蝕刻處理組成物可包括CF4及Ar。
該異向性電漿蝕刻處理可包括準備蝕刻處理配方。該蝕刻處理配方可包括由一或更多處理參數所定義的一或更多處理條件。該一或更多處理條件可藉由設定一或更多處理參數來設立,例如:設定蝕刻處理組成物中各個成分的流率;設定電漿處理系統中的壓力;設定施加在支撐及電氣偏壓基板的基板支撐件內之下電極的第一射頻(RF)信號之第一RF功率位準;設定施加在下電極、或在基板上方與下電極相對的來源天線或上電極的第二RF信號之第二RF(或微波)功率位準;設定電漿處理系統中的溫度條件;設定基板及基板支撐件的溫度條件;設定蝕刻時間;及/或設定過度蝕刻時間。在異向性電漿蝕刻處理期間,可改變處理參數的任一者。
該異向性電漿蝕刻處理可包括施加射頻(RF)偏壓於放置基板610的基板支撐件。基板610的溫度可在約0度C到約100度C的範圍內。此外,當執行異向性電漿蝕刻處理時,可調整異向性電漿蝕刻處理中至少一處理參數來控制凹槽-介層孔結構600的臨界尺寸(critical dimension,CD)、凹槽-介層孔結構600的側壁輪廓等。
在另一實施例中,可執行過度蝕刻處理。
在步驟540中,且如圖6I所示,遮罩移除蝕刻處理係執行以移除至少部份的第二硬遮罩層642。該遮罩移除蝕刻處理可包括一或更多蝕刻處理。該一或更多蝕刻處理可包括乾式電漿蝕刻處理或乾式非電漿蝕刻處理。
在一實施例中,該乾式電漿蝕刻處理可包括從含有鹵素的 蝕刻處理組成物形成電漿。例如,該蝕刻處理組成物可包括含氟氣體、含氯氣體、含溴氣體、鹵化物氣體、鹵碳化物氣體(即,CxRy,其中R是鹵族元素,並且x和y等於或大於1)、鹵烴氣體(CxHyRz,其中R是鹵族元素,並且x和y等於或大於1,並且z等於或大於0)、或鹵甲烷氣體(例如,例如CH3F之單取代鹵代甲烷、或例如CH2F2之雙取代鹵甲烷、或例如CHF3之三取代鹵甲烷、或例如CF4之四取代鹵甲烷,)。此外,例如,該蝕刻處理組成物可包括HF、HCl、HBr、F2、Cl2、Br2、BCl3、NF3、或SF6
此外,該乾式電漿蝕刻處理可包括從含有F的蝕刻處理組成物形成電漿。例如,該蝕刻處理組成物可含有HF、NF3、SF6、氟碳化物氣體(即,CxFy,其中x和y等於或大於1)、氟烴氣體(CxHyFz,其中x和y等於或大於1,並且z等於或大於0)、或氟甲烷氣體(舉例來說,例如CH3F之單取代氟甲烷、或例如CH2F2之雙取代二氟甲烷、或例如CHF3之三取代氟甲烷、或例如CF4之四取代氟甲烷)。
此外,例如,該蝕刻處理組成物可包括惰性氣體。該蝕刻處理組成物可包括含氧氣體、含氫氣體、含氮氣體、或含碳氣體、或其二或更多者的任意組合。例如,該蝕刻處理組成物可包括H2、O2、N2、CO、CO2、NH3、NO、N2O、或NO2、或其二或更多者的任意組合。
在一實施例中,用於遮罩移除蝕刻處理之蝕刻處理組成物可包括NF3且選擇性包含惰性氣體。在另一實施例中,用於遮罩移除蝕刻處理之蝕刻處理組成物可包括NF3以及Ar。在又另一實施例中,用於遮罩移除蝕刻處理之蝕刻處理組成物可由NF3組成。
遮罩移除蝕刻處理可包括準備蝕刻處理配方。該蝕刻處理配方可包括由一或更多處理參數所定義的一或更多處理條件。該一或更多處理條件可藉由設定一或更多處理參數來設立,例如:設定蝕刻處理組成物中各成分的流率;設定電漿處理系統中的壓力;設定施加在支撐及電氣偏壓基板的基板支撐件內之下電極的第一射頻(RF)信號之第一射頻功率位準;設定施加在下電極、或在基板上方相對下電極的來源天線或上電極的第二RF信號之第二RF(或微波)功率位準;設定電漿處理系統中的溫 度條件;設定基板及基板支撐件的溫度條件;設定蝕刻時間;及/或設定過度蝕刻時間。在該遮罩移除蝕刻處理期間,可改變處理參數之任一者。
如圖6J所示,在執行遮罩移除蝕刻處理之後,絕緣保護層670之殘餘部份可從凹槽-介層孔結構600的側壁表面632選擇性地移除。在一實施例中,從凹槽-介層孔結構600的側壁表面632選擇性地移除絕緣保護層670之殘餘部份可藉由執行濕式清洗處理來達成。例如,該濕式清洗處理可包括將絕緣保護層670之殘餘部分浸在HF溶液(例如稀釋水性HF溶液)中。
在一實施例中,形成絕緣保護層之沉積處理、選擇性之異向性電漿蝕刻處理、以及遮罩移除蝕刻處理係執行於同一電漿處理系統中。在一選擇性實施例中,形成絕緣保護層之沉積處理、選擇性之異向性電漿蝕刻處理、以及遮罩移除蝕刻處理係執行於不同的電漿處理系統中。
在另一實施例中,絕緣保護層可以在形成凹槽-介層孔結構期間的其它步驟之前及/或之後沉積。舉例來說,用來保護凹槽-介層孔結構700之介層孔圖案665中的暴露之低k表面的方法係顯示在圖7A及7B中。如圖7A所示,在轉移介層孔圖案665之後並且在轉移凹槽圖案660到低k絕緣層630之前,可將暫時性絕緣保護層沉積在凹槽-介層孔結構700內之介層孔圖案665中的暴露表面上,以形成介層孔絕緣保護層770。緊接在沉積該暫時性絕緣保護層之後並且在移除第二微影遮罩層655之前,可執行一或更多蝕刻處理以異向性地從凹槽-介層孔結構700上的至少一表面移除暫時性絕緣保護層之至少一部分。之後,第二微影遮罩層655可如圖7B所示地加以移除。
在又另一實施例中,絕緣保護層可在圖案轉移處理期間的複數階段中沉積。尤其,凹槽圖案660及/或介層孔圖案665的轉移可在分別的蝕刻步驟中執行,其中週期性地形成絕緣保護層以及可選的異向性移除絕緣保護層之至少一部分係插入於至少一連續之個別蝕刻步驟序列之間,且可能重覆多次循環(例如二或更多循環)之間,直到凹槽-介層孔結構之側壁表面632充分受到保護為止。
舉例來說,敘述用來保護凹槽-介層孔結構800的凹槽圖案 660之暴露的低k表面的方法。該方法是以圖示於圖8A到8C中。如圖8A所示,凹槽圖案660是利用一或更多蝕刻處理從第二硬遮罩層642局部轉移通過第一硬遮罩層644到低k絕緣層630中,以至少形成凹槽-介層孔結構800的初始階段。轉移凹槽圖案660到低k絕緣層630的初始階段可執行至小於針對凹槽-介層孔結構800所定義的預定深度之第一凹槽深度。在第一凹槽深度,將中間絕緣保護層沉積在凹槽-介層孔結構800之暴露表面以形成第一絕緣保護層870A。
之後,如圖8B所示,使用一或更多額外的蝕刻處理使凹槽圖案660擴展而更深入低k絕緣層630之中。轉移凹槽圖案660到低k絕緣層630的後續階段可執行至等於或小於針對凹槽-介層孔結構800所定義之預定深度的第二凹槽深度。如圖8B所示,在該一或更多額外的蝕刻處理期間,第一絕緣保護層870A可從第二硬遮罩層642至少局部地移除,並且可沿著低k絕緣層630中的凹槽-介層孔結構800之側壁表面632變薄,以留下殘留絕緣保護層870B。擴展之凹槽-介層孔結構800側壁上的殘留絕緣保護層870B的存在可減少低k絕緣層630與一或更多額外的蝕刻處理之蝕刻化學(例如電漿化學)的交互作用。
之後,如圖8C所示,另一絕緣保護層可沉積在凹槽-介層孔結構800之暴露表面上以形成第二絕緣保護層870C。該步驟序列(亦即圖8A到8C中所描述的在凹槽-介層孔結構800形成進入並穿透低k絕緣層630期間所執行的蝕刻-沉積-蝕刻-沉積(等)之處理方式)可保護擴展之凹槽-介層孔結構800之側壁,並因而限制低k絕緣層630及蝕刻化學間的交互作用。該受限的交互作用可減少對低k絕緣層630的損傷。雖然描述於凹槽圖案化的上下文中,但該蝕刻-沉積序列也可在介層孔圖案化期間執行。
作為一例,發明人已取得在具有低k絕緣層及有機介電層(ODL)之基板上沉積絕緣保護層之資料。低k絕緣層包含多孔性低k材料,如可自Applied Materials,Inc.購得之Black Diamond II(BD2)。包含C及N之絕緣保護層係利用吡咯及苯胺作為膜形成前驅物而沉積在低k絕緣層與ODL上。表1針對兩種膜形成前驅物提供位於低k絕緣層與ODL兩者上之絕緣保護層之元素組成。此外,表1提供沒有任何沉積之低k絕緣層的元素組成。針對所選之暴露表面的元素組成係利用X-射線光電光譜法(XPS)來進行測量。
發明人發現對於沉積在低k絕緣層與ODL兩者上之薄膜而言,絕緣保護層之元素組成大致相同。此外,發明人觀察到當執行後續蝕刻、灰化、及/或濕式清洗處理時,對於低k絕緣層之耗損與損傷可降到最小。再者,發明人觀察到在絕緣保護層沉積之後使用灰化處理可自低k絕緣層移除ODL。換言之,絕緣保護層在灰化處理期間並不會妨礙ODL的移除,但可做為犧牲層來保護下方的低k絕緣層。在嘗試使用絕緣保護層之其他材料組成(如包含Si與C的其他膜)時,發明人發現會難以移除ODL。
圖9提供在移除遮罩層時保護暴露低k表面的例示性資料。其中,低k絕緣層沉積在基板上,其在蝕刻處理後具有一初始膜厚度(在圖9中繪示為“蝕刻時之膜厚度”)。蝕刻處理之後,於多個階段測量膜厚度的進展,包含:(i)絕緣保護層沉積後之膜厚度的測量;(ii)灰化處理後之膜厚度的測量;以及(iii)濕式清洗處理後之膜厚度的測量。膜厚度的進展於數種情況下測量,包含:(a)未沉積絕緣保護層的情況(“無沉積”);(b)利用苯胺作為膜形成組成物來沉積絕緣保護層的情況;(c)利用吡咯作為膜形成組成物來沉積絕緣保護層的情況
如圖9所見,發明人觀察到在後續灰化及濕示清洗處理期間低k絕緣層的加強保護。此外,發明人推斷可調整沉積之絕緣保護層的膜厚度以避免對於下方的低k絕緣層之任何損耗及/或損傷。
根據以上所描述之各種實施例的用來執行形成絕緣保護層的沉積處理、選擇性地異向性電漿蝕刻處理、用以將圖案轉移至低k絕緣層的一或更多蝕刻處理、以及遮罩移除處理之任一者的一或更多方法可執行於圖10到16所示且如以下所述的電漿處理系統之任一者。
根據一實施例,配置成執行以上所述之處理條件的電漿處理系統1000係顯示在圖10之中,包含電漿處理腔室1010、其上固定待處理之基板1025的基板支撐件1020、以及真空泵系統1050。基板1025可為半導體基板、晶圓、平板顯示器、或液晶顯示器。電漿處理腔室1010可配置成幫助在電漿處理區1045中、基板1025的表面附近產生電漿。可離子化氣體或處理氣體的混合物係經由氣體分配系統1040引入。針對一特定的處理氣體流,處理壓力係使用真空泵系統1050加以調整。電漿可用來製造專門針對預定材料處理的材料、及/或協助從基板1025之暴露的表面移除材料。該電漿處理系統1000可配置成處理任何所需大小的基板,例如200mm基板、300mm基板、或更大的。
基板1025可經由夾持系統1028固定在基板支撐件1020上,例如機械夾持系統或電力夾持系統(例如,靜電夾持系統)。此外,基板支撐件1020可包括配置成調整及/或控制基板支撐件1020及基板1025之溫度的加熱系統(未顯示)或冷卻系統(未顯示)。該加熱系統或冷卻系統可包含熱傳液體之再循環流動,其在冷卻時從基板支撐件1020接收熱量並將熱量傳到熱交換系統(未顯示),或在加熱時將熱量從熱交換系統傳到基板支撐件1020。在其它實施例中,例如電阻加熱元件、或熱-電加熱器/冷卻器的加熱/冷卻元件可包括在基板支撐件1020、以及電漿處理腔室1010之腔室壁及電漿處理系統1000內的任何其它元件之中。
此外,熱傳氣體可經由背面氣體供應系統1026送到基板1025的背面,來改善基板1025及基板支撐件1020間的氣體-間隙熱傳導性。這樣的系統可用於需要在升高或降低的溫度下控制基板的溫度時。例如,該背面氣體供應系統可包含兩區氣體分配系統,其中氦氣體-間隙壓力可在基板1025的中央及邊緣之間獨立地改變。
在圖10所示之實施例中,基板支撐件1020可包含電極 1022,RF電力可經由該電極1022耦合到電漿處理區1045的處理電漿。例如,基板支撐件1020可經由從RF產生器1030通過可選的阻抗匹配網路1032傳輸RF電力至基板支撐件1020而在一RF電壓下加以電性偏壓。該射頻電性偏壓可用來加熱電子以形成和維持電漿。在此配置中,該系統可作用為活性離子蝕刻(reactive ion etch,PIE)反應器,其中腔室以及上氣體注入電極作為接地面。典型的RF偏壓頻率可在大約0.1MHz到100MHz的範圍內。用於電漿處理的RF系統是熟悉本領域者所熟知。
此外,電極1022在一RF電壓下的電性偏壓可使用脈衝偏壓信號控制器1031使之產生脈衝。例如,來自射頻產生器1030的射頻電力輸出可在關閉狀態和開啟狀態之間產生脈衝。
或者,RF電力可在複數頻率下施加於基板支撐件電極。此外,阻抗匹配網路1032可藉由減少被反射的電力來改善RF電力對電漿處理腔室1010中之電漿的傳輸。匹配網絡拓撲結構(例如,L-型、π-型、T-型等)和自動控制方法是熟悉本領域者所熟知。
氣體分配系統1040可以包含用來引入處理氣體混合物的噴淋頭設計。另外,氣體分配系統1040可包含多區域噴淋頭設計來引入處理氣體混合物以及調整處理氣體混合物在基板1025上的分佈。例如,該多區域噴淋頭設計可配置成相對於基板1025上實質上中心區域之處理氣體之流動量或組成而調整基板1025上實質上外緣區域的處理氣體之流動量或組成。
真空泵系統1050可包括具有高達每秒5000升(及更大)之泵抽速度的渦輪分子真空泵(turbo-molecular vacuum pump,TMP)、以及用於節流腔室壓力的閘閥。在習知的用於乾式電漿蝕刻之電漿處理裝置中可使用每秒1000至3000升的TMP。TMP對於典型小於約50毫托(milli torr,mTorr)的低壓處理具有效用。對於高壓處理(亦即大於約100mTorr)而言,可使用機械增壓泵和乾式粗抽泵。此外,可將用來監測腔室壓力的裝置(未顯示)耦合到電漿處理腔室1010。
控制器1055包括微處理器、記憶體、和數位I/O埠,該數位I/O埠能夠產生足以對電漿處理系統1000通訊和啟動輸入、並監測來自 電漿處理系統1000之輸出的控制電壓。此外,控制器1055可與RF產生器1030、脈衝偏壓信號控制器1031、阻抗匹配網路1032、氣體分配系統1040、真空泵系統1050、以及基板加熱/冷卻系統(未顯示)、背面氣體供給系統1026、及/或靜電夾持系統1028耦合並交換資訊。例如,儲存於記憶體中的程式可用來根據處理配方啟動對前述電漿處理系統1000之元件的輸入,以在基板1025上執行電漿輔助處理,例如電漿蝕刻處理。
控制器1055可相對電漿處理系統1000而本地設置,或者相對電漿處理系統1000而遠端設置。例如,控制器1055可使用直接連接、內部網路、及/或網際網路與電漿處理系統1000交換數據。控制器1055可耦接至例如顧客(亦即,裝置製造商等)位置的內部網路,或者其可耦接至例如供應商位置(亦即,設備製造商)的內部網路。另外或額外地,控制器1055可耦接到網際網路。此外,另一電腦(例如,控制器、伺服器)可以透過直接連接、內部網路、及/或網際網路存取控制器1055以交換數據。
在圖11所示的實施例中,除了參照圖10所描述的那些組件之外,電漿處理系統1100可類似圖10的實施例,並且更包括固定的、或機械的、或電氣的旋轉磁場系統1160,以潛在地增加電漿密度及/或改善電漿處理均勻性。此外,控制器1055可耦合到磁場系統1160以調節旋轉速度和場強度。旋轉磁場的設計和實施是熟悉本領域者所熟知。
在圖12所示的實施例中,電漿處理系統1200可類似圖10或圖11中的實施例,並且可更包括上部電極1270,RF電力可從RF產生器1272經由可選的阻抗匹配網路1274耦合到該上部電極1270。施加到上部電極的RF電力頻率可在大約0.1MHz到大約200MHz的範圍內。此外,施加到下部電極的RF電力頻率可在大約0.1MHz到大約100MHz的範圍內。並且,控制器1055係耦合到RF產生器1272以及阻抗匹配網路1274以控制對上部電極1270的RF電力之施加。上部電極的設計和實施是熟悉本領域者所熟知。上部電極1270和氣體分配系統1040可如所示設計在相同的腔室組件內。另外,上部電極1270可包含用以調整耦合到基板1025上的電漿之RF電力分佈的多區域電極設計。例如,可將上部電極 1270分隔為中心電極以及邊緣電極。
在圖13所示的實施例中,電漿處理系統1300可類似圖12的實施例,並且更包括耦合到與基板1025相對的上部電極1270的直流(direct current,DC)電源1390。上部電極1270可包括電極板。該電極板可包括含矽電極板。此外,該電極板可包括摻雜矽電極板。DC電源1390可包括可變直流電源。此外,DC電源1390可包括雙極DC電源。DC電源1390可更包括配置成執行監測、調節,或控制DC電源1390的極性、電流、電壓、或開/關狀態的至少一者的系統。一旦形成電漿,DC電源1390協助彈道電子束的形成。電濾波器(未顯示)可用來自DC電源1390解耦合RF電力。
例如,由DC電源1390施加到上部電極1270的DC電壓可在大約-2000V(伏特)到大約1000V的範圍內。較佳地,直流電壓的絕對值具有等於或大於約100V之數值,且更佳地,直流電壓的絕對值具有等於或大於約500V之數值。此外,DC電壓較佳地具有負極性。此外,DC電壓較佳地為絕對值大於產生在上部電極1270之表面上的自偏壓電壓之負電壓。上部電極1270面對基板支撐件1020的表面可由含矽材料組成。
在圖14所示的實施例中,電漿處理系統1400可類似圖10及11的實施例,並且可更包括感應線圈1480,RF電源是經由RF產生器1482並透過可選的阻抗匹配網路1484耦合到該感應線圈。RF電力係從感應線圈1480經過介電窗(未顯示)感應耦合到電漿處理區1045。施加到感應線圈1480的RF電力頻率可在大約10MHz到大約100MHz的範圍內。同樣地,施加到夾盤電極的電力頻率可在大約0.1MHz到大約100MHz的範圍內。此外,開槽法拉第屏蔽(Faraday shield)(未顯示)可用來減少感應線圈1480以及電漿處理區1045中的電漿之間的電容耦合。此外,控制器1055可耦合到RF產生器1482以及阻抗匹配網路1484,以控制對感應線圈1480之電力施加。
在另一實施例中,如圖15所示,電漿處理系統1500可類似圖14的實施例,並且可更包括感應線圈1580,其為如同在變壓器耦合 電漿(transformer coupled plasma,TCP)反應器中般自上方與電漿處理區1045交流的「螺旋形」線圈或「盤餅形」線圈。感應耦合電漿(inductively coupled plasma,ICP)源或變壓器耦合電漿(transformer coupled plasma,TCP)源的設計和實施是熟悉本領域者所熟知。
此外,可使用電子迴旋共振(electron cyclotron resonance,ECR)來形成電漿。在又另一實施例中,電漿是由發射一大喇叭波(Helicon wave)而形成。在又另一實施例中,電漿是由一傳播表面波而形成。上述每一電漿源都是熟悉本領域者所熟知。
在圖16所示的實施例中,電漿處理系統1600可類似圖10的實施例,並且可更包括表面波電漿(surface wave plasma,SWP)源1630。SWF源1630可包含槽孔天線,例如輻射線槽孔天線(radial line slot antenna,RLSA),微波電力是經由功率耦合系統1690耦合到該槽孔天線。
雖然以上僅詳述本發明的部份實施例,但熟悉本領域者將容易瞭解,在實質上不脫離本發明的新穎教示和優點的情況下,許多修改均有可能。因此,欲使所有如此修改皆包括在本發明的範圍之內。
300‧‧‧流程圖
310-340‧‧‧步驟

Claims (16)

  1. 一種保護暴露之低k表面的方法,包含:提供其上形成有一低k絕緣層以及一或更多遮罩層之基板,該一或更多遮罩層位於該低k絕緣層上且具有一圖案形成於其中;使用一或更多蝕刻處理將該一或更多遮罩層中的圖案轉移至該低k絕緣層,以於該低k絕緣層中形成結構特徵部;在該一或更多蝕刻處理之後並且在遮罩移除之前,藉由使該基板暴露於含C、H、及N之膜形成處理組成物,在該結構特徵部的暴露表面上形成一絕緣保護層;以及在形成該絕緣保護層之後,利用一遮罩移除處理移除該一或更多遮罩層之至少一部份。
  2. 如申請專利範圍第1項之保護暴露之低k表面的方法,更包含:異向性地從該一或更多遮罩層之頂部表面以及該低k絕緣層中的結構特徵部之底部表面移除該絕緣保護層,同時保留該結構特徵部之側壁表面上之絕緣保護層的剩餘部分。
  3. 如申請專利範圍第1項之保護暴露之低k表面的方法,其中該膜形成處理組成物為雜環芳香族有機化合物或芳香胺。
  4. 如申請專利範圍第1項之保護暴露之低k表面的方法,其中該膜形成處理組成物為吡咯(C4H4NH)或苯胺(C6H5NH2)。
  5. 如申請專利範圍第1項之保護暴露之低k表面的方法,其中該形成絕緣保護層的步驟包含執行一電漿輔助沉積處理,該電漿輔助沉積處理包括產生電漿;其中該電漿輔助沉積處理排除施加射頻(RF)偏壓到一基板支撐件,該基板係置於該基板支撐件上;其中該基板的溫度在約50℃到約100℃的範圍內;及其中壓力在約200mTorr到約1000mTorr的範圍內。
  6. 如申請專利範圍第5項之保護暴露之低k表面的方法,其中執行該電漿輔助沉積處理包括調整該電漿輔助沉積處理中之至少一處理參數,以提高該絕緣保護層對該遮罩移除處理的抗性。
  7. 如申請專利範圍第1項之保護暴露之低k表面的方法,其中該一或更多遮罩層包含選自由軟遮罩層、硬遮罩層、輻射敏感性材料層、抗反射塗佈(ARC)層、有機平坦化層(OPL)、或有機介電層(ODL)所組成群組中之一或多層;以及其中該低k絕緣層包含小於數值2.5之介電常數。
  8. 如申請專利範圍第7項之保護暴露之低k表面的方法,其中該硬遮罩層包含金屬硬遮罩層;以及其中該結構特徵部係利用凹槽優先金屬硬遮罩(trech-first metal hard mask,TFMHM)處理方式、或先介層孔後凹槽(via-first-trench-last,VFTL)處理方式而形成。
  9. 如申請專利範圍第8項之保護暴露之低k表面的方法,其中該硬遮罩層包含TiN。
  10. 如申請專利範圍第1項之保護暴露之低k表面的方法,其中該絕緣保護層包含C及N。
  11. 如申請專利範圍第1項之保護暴露之低k表面的方法,其中該絕緣保護層包含C、N、及O。
  12. 如申請專利範圍第1項之保護暴露之低k表面的方法,更包含:在移除該一或更多遮罩層之至少一部份之後,自該結構特徵部之暴露表面選擇性地移除該絕緣保護層的任何剩餘部分。
  13. 如申請專利範圍第1項之保護暴露之低k表面的方法,其中該轉移圖案的步驟與該形成絕緣保護層的步驟係交替並連續執行數個循環,直到在該低k絕緣層中完成該圖案轉移為止。
  14. 如申請專利範圍第1項之保護暴露之低k表面的方法,其中該轉移圖案的步驟包含:接收其上形成有該低k絕緣層、位於該低k絕緣層上之第一硬遮罩層、以及位於該第一硬遮罩層上之第二硬遮罩層的該基板,其中該第二硬遮罩層包含金屬;在該第二硬遮罩層上製備第一微影遮罩層,該第一微影遮罩層具有一凹槽圖案形成於其中;轉移該凹槽圖案至該第二硬遮罩層中,並停止於該第一硬遮罩層上;移除該第一微影遮罩層;在該第二硬遮罩層上製備第二微影遮罩層,該第二微影遮罩層具有一介層孔圖案形成於其中;使該介層孔圖案轉移穿過該第一硬遮罩層並至少部分穿過該低k絕緣層;移除該第二微影遮罩層;以及使該第二硬遮罩層中之該凹槽圖案轉移穿過該第一硬遮罩層,並轉移至該低k絕緣層中達預定深度,以形成該結構特徵部,該結構特徵部為一凹槽-介層孔結構。
  15. 一種保護暴露之低k表面的方法,包含:提供其上形成有一低k絕緣層以及一或更多遮罩層之基板,該一或更多遮罩層位於該低k絕緣層上且具有一圖案形成於其中;使用一或更多蝕刻處理將該一或更多遮罩層中的圖案轉移至該低k絕緣層,以於該低k絕緣層中形成結構特徵部;在該一或更多蝕刻處理期間,藉由使該基板暴露於膜形成處理組成物,在該結構特徵部的暴露表面上形成一絕緣保護層,該膜形成處理組成物具有包含C、H、及N的化合物,其中該膜形成處理組成物為吡咯 (C4H4NH),該形成絕緣保護層的步驟包含執行一電漿輔助沉積處理,該電漿輔助沉積處理包括產生電漿,其中該絕緣保護層包含C、N、及O,以及其中該絕緣保護層不包含矽,其中該絕緣保護層係在遮罩移除之前形成;利用一遮罩移除處理移除該一或更多遮罩層之至少一部份;以及異向性地從該一或更多遮罩層之頂部表面以及該低k絕緣層中的結構特徵部之底部表面移除該絕緣保護層,同時保留該結構特徵部之側壁表面上之絕緣保護層的剩餘部分。
  16. 如申請專利範圍第15項之保護暴露之低k表面的方法,其中該膜形成處理組成物為苯胺(C6H5NH2)。
TW102122167A 2012-06-22 2013-06-21 蝕刻及灰化期間低k材料之側壁保護 TWI524423B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/530,546 US8859430B2 (en) 2012-06-22 2012-06-22 Sidewall protection of low-K material during etching and ashing

Publications (2)

Publication Number Publication Date
TW201417181A TW201417181A (zh) 2014-05-01
TWI524423B true TWI524423B (zh) 2016-03-01

Family

ID=49769340

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102122167A TWI524423B (zh) 2012-06-22 2013-06-21 蝕刻及灰化期間低k材料之側壁保護

Country Status (6)

Country Link
US (1) US8859430B2 (zh)
EP (1) EP2865000A4 (zh)
JP (1) JP6049871B2 (zh)
KR (1) KR101683405B1 (zh)
TW (1) TWI524423B (zh)
WO (1) WO2013192323A1 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
EP2819162B1 (en) * 2013-06-24 2020-06-17 IMEC vzw Method for producing contact areas on a semiconductor substrate
US9018103B2 (en) * 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
JP6373150B2 (ja) * 2014-06-16 2018-08-15 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US9337051B2 (en) 2014-08-14 2016-05-10 Applied Materials, Inc. Method for critical dimension reduction using conformal carbon films
JP6456131B2 (ja) * 2014-12-18 2019-01-23 キヤノン株式会社 基板の加工方法及び液体吐出ヘッドの製造方法
US9570397B1 (en) 2015-12-10 2017-02-14 International Business Machines Corporation Local interconnect structure including non-eroded contact via trenches
JP6524535B2 (ja) * 2016-03-11 2019-06-05 パナソニックIpマネジメント株式会社 素子チップおよびその製造方法
JP2017163070A (ja) * 2016-03-11 2017-09-14 パナソニックIpマネジメント株式会社 素子チップおよびその製造方法
US10304725B2 (en) * 2016-08-26 2019-05-28 Tokyo Electron Limited Manufacturing methods to protect ULK materials from damage during etch processing to obtain desired features
JP6759004B2 (ja) 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10008456B1 (en) 2017-03-27 2018-06-26 Globalfoundries Inc. Laminated spacers for field-effect transistors
US10242883B2 (en) 2017-06-23 2019-03-26 Lam Research Corporation High aspect ratio etch of oxide metal oxide metal stack
US10618805B2 (en) * 2017-09-22 2020-04-14 Applied Materials, Inc. Method to reduce pore diameter using atomic layer deposition and etching
US10752496B2 (en) * 2017-09-22 2020-08-25 Applied Materials, Inc. Pore formation in a substrate
KR20190044196A (ko) 2017-10-20 2019-04-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
WO2019113482A1 (en) * 2017-12-08 2019-06-13 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
US10816724B2 (en) 2018-04-05 2020-10-27 The Research Foundation For The State University Of New York Fabricating photonics structure light signal transmission regions
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10964587B2 (en) * 2018-05-21 2021-03-30 Tokyo Electron Limited Atomic layer deposition for low-K trench protection during etch
US10342110B1 (en) * 2018-09-14 2019-07-02 Serendipity Technologies LLC. Plasma power generator (z-box and z-tower)
US11495456B2 (en) * 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
KR20210065199A (ko) * 2018-10-26 2021-06-03 매슨 테크놀로지 인크 하드마스크의 제거를 위한 수증기 기반 불소 함유 플라즈마
US10755918B2 (en) 2018-11-16 2020-08-25 GlobalFoundries, Inc. Spacer with laminate liner
CN109727859A (zh) * 2018-12-29 2019-05-07 上海华力微电子有限公司 多层结构的顶部膜层的去除方法
US10832950B2 (en) 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
JP6646820B2 (ja) * 2019-02-20 2020-02-14 パナソニックIpマネジメント株式会社 素子チップの製造方法
JP2022538595A (ja) * 2019-07-03 2022-09-05 ラム リサーチ コーポレーション 選択的不動態化のために標的蒸着を用いてフィーチャをエッチングするための方法
JP7472634B2 (ja) 2020-04-28 2024-04-23 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP7045428B2 (ja) * 2020-09-02 2022-03-31 東京エレクトロン株式会社 被処理体を処理する方法
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing
US20220415648A1 (en) * 2021-06-28 2022-12-29 Applied Materials, Inc. Selective carbon deposition on top and bottom surfaces of semiconductor substrates
WO2023249899A1 (en) * 2022-06-23 2023-12-28 Lam Research Corporation High aspect ratio etch with a metal or metalloid containing mask
WO2024090934A1 (ko) * 2022-10-27 2024-05-02 피에스케이 주식회사 기판 처리 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
JP2001176859A (ja) * 1999-12-20 2001-06-29 Canon Inc アッシング方法
US6429117B1 (en) * 2000-07-19 2002-08-06 Chartered Semiconductor Manufacturing Ltd. Method to create copper traps by modifying treatment on the dielectrics surface
DE10227663A1 (de) * 2002-06-20 2004-01-15 Infineon Technologies Ag Verfahren zum Versiegeln poröser Materialien bei der Chipherstellung und Verbindungen hierfür
US7553769B2 (en) 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
JP2006032864A (ja) * 2004-07-21 2006-02-02 Sony Corp 多層配線構造と多層配線構造を有する半導体装置とこれらの製造方法
JP4416595B2 (ja) * 2004-07-27 2010-02-17 富士通マイクロエレクトロニクス株式会社 エッチング方法及び絶縁膜のパターニング方法
US20060115981A1 (en) 2004-12-01 2006-06-01 Jyu-Horng Shieh Forming a dual damascene structure without ashing-damaged ultra-low-k intermetal dielectric
US7718536B2 (en) * 2005-06-16 2010-05-18 United Microelectronics Corp. Planarization process for pre-damascene structure including metal hard mask
US7482281B2 (en) * 2005-09-29 2009-01-27 Tokyo Electron Limited Substrate processing method
EP1785198A1 (en) * 2005-11-14 2007-05-16 Vlaamse Instelling voor Technologisch Onderzoek A method for atmospheric plasma deposition of conjugated polymer coatings
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
EP2784024B1 (en) * 2006-05-22 2015-12-23 Kabushiki Kaisha Toyota Chuo Kenkyusho Fuel cell separator and process for its production
US7723237B2 (en) 2006-12-15 2010-05-25 Tokyo Electron Limited Method for selective removal of damaged multi-stack bilayer films
JP2008251897A (ja) * 2007-03-30 2008-10-16 Fujitsu Microelectronics Ltd 半導体装置の製造方法
US7741224B2 (en) 2007-07-11 2010-06-22 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
DE102007046846A1 (de) * 2007-09-29 2009-04-09 Advanced Micro Devices, Inc., Sunnyvale Seitenwandschutzschicht
US8183160B2 (en) * 2007-10-09 2012-05-22 Freescale Semiconductor, Inc. Method for manufacturing a semiconductor device and semiconductor device obtainable with such a method
US20090140418A1 (en) * 2007-11-29 2009-06-04 Li Siyi Method for integrating porous low-k dielectric layers
US20090242385A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of depositing metal-containing films by inductively coupled physical vapor deposition
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US9059028B2 (en) * 2009-06-25 2015-06-16 Nec Corporation Semiconductor device and method for manufacturing same
US20110244142A1 (en) * 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP5234047B2 (ja) * 2010-04-22 2013-07-10 富士通セミコンダクター株式会社 半導体装置の製造方法
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect

Also Published As

Publication number Publication date
US8859430B2 (en) 2014-10-14
JP2015521799A (ja) 2015-07-30
KR101683405B1 (ko) 2016-12-06
TW201417181A (zh) 2014-05-01
EP2865000A4 (en) 2016-03-02
US20130344699A1 (en) 2013-12-26
WO2013192323A1 (en) 2013-12-27
KR20150021584A (ko) 2015-03-02
EP2865000A1 (en) 2015-04-29
JP6049871B2 (ja) 2016-12-21

Similar Documents

Publication Publication Date Title
TWI524423B (zh) 蝕刻及灰化期間低k材料之側壁保護
TWI495010B (zh) 用於互連圖案化之硬遮罩移除時之側壁及倒角保護
JP6334631B2 (ja) 金属インターコネクトのために絶縁積層体を選択的にエッチングする方法
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
KR101889107B1 (ko) 저 유전상수 손상을 감소시키도록 노출된 저 유전상수 표면에 SiOCl-함유 층을 형성하는 방법
TWI352387B (en) Etch methods to form anisotropic features for high
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
TWI671795B (zh) 利用共形碳薄膜減低臨界尺寸之方法
US20070026665A1 (en) Method of fabricating a dual damascene interconnect structure
KR101688231B1 (ko) Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
EP1367638A1 (en) Method for etching organic insulating film and dual damasene process
US7572734B2 (en) Etch depth control for dual damascene fabrication process
KR20080053239A (ko) 듀얼 다마신 분야에서 바닥부 무반사 코팅층의 2단계 에칭
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
US9252051B1 (en) Method for top oxide rounding with protection of patterned features
TW202314851A (zh) 循環式電漿處理

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees