TW202314851A - 循環式電漿處理 - Google Patents

循環式電漿處理 Download PDF

Info

Publication number
TW202314851A
TW202314851A TW111120828A TW111120828A TW202314851A TW 202314851 A TW202314851 A TW 202314851A TW 111120828 A TW111120828 A TW 111120828A TW 111120828 A TW111120828 A TW 111120828A TW 202314851 A TW202314851 A TW 202314851A
Authority
TW
Taiwan
Prior art keywords
plasma
layer
substrate
gas
deposition
Prior art date
Application number
TW111120828A
Other languages
English (en)
Inventor
蘊 韓
凱特琳 菲利普
安祖 梅茲
艾洛克 蘭傑
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202314851A publication Critical patent/TW202314851A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一種用於處理基板之方法包含進行包含多個循環之循環式電漿處理,多個循環中之每一循環包含以包含碳之第一沉積氣體清洗包含基板之電漿處理腔室。基板包含包含矽之第一層以及包含金屬氧化物之第二層。方法更包含將基板曝露於由第一沉積氣體所產生之第一電漿,以相對於第二層選擇性地沉積第一聚合物膜於第一層上;以包含氟之蝕刻氣體清洗電漿處理腔室;以及將基板曝露於由蝕刻氣體所產生之第二電漿以蝕刻第二層。

Description

循環式電漿處理
[相關申請案之交叉參照]本申請案主張2021年6月4日提出申請,申請案號為17/339,495之美國非臨時專利申請案之優先權利益,其完整內容以引用方式併入本文中。
本發明總體上是關於處理半導體裝置之系統以及方法,並且於特定實施例中,是關於用於循環式電漿處理之系統以及方法。
一般而言,半導體裝置,例如積體電路 (integrated circuit,IC),是藉由在基板上依序沉積以及圖案化介電層、導電層以及半導體材料層以形成一集成於一單片結構中之電子元件以及互連元件 (例如電晶體、電阻、電容、金屬線、接點以及通孔) 之網路。用於形成半導體裝置之組成結構之處理流程涉及沉積以及去除各種材料。出於這些目的,在半導體裝置製造中經常使用各種電漿處理。
電漿蝕刻,是一種電漿處理,是一種藉由將材料暴露於包含帶電物質、中性物質或其組合之氣體之電漿來蝕刻材料的常用技術。於電漿蝕刻中,蝕刻選擇性以及方向性 (異向性或等向性)是決定處理性能的重要關鍵特性。 隨著半導體工業的創新不斷引入新的材料以及裝置架構,期望電漿蝕刻處理的進一步發展,特別是改善選擇性以及方向性,以滿足半導體裝置製造中日益增長的要求。
依據本發明之一較佳實施例,一種用於處理基板之方法包含進行包含多個循環之一循環式電漿處理,多個循環中之每一循環包含以包含碳之一第一沉積氣體清洗包含基板之一電漿處理腔室,基板包含一第一層,其包含矽,以及一第二層,其包含金屬氧化物;將基板曝露於由第一沉積氣體所產生之一第一電漿,以相對於第二層選擇性地沉積一第一聚合物膜於第一層上;以包含氟之一蝕刻氣體清洗電漿處理腔室;以及將基板曝露於由蝕刻氣體所產生之一第二電漿以蝕刻第二層。
依據本發明之一較佳實施例,一種處理基板之方法包含進行包含多個循環之一循環式電漿處理,多個循環中之一個循環包含:於一第一時間間隔期間,施加一第一電源功率至一電漿處理腔室之一電源電極,以及一第一偏壓功率至電漿處理腔室之一偏壓電極,且使包含碳之一沉積氣體流入電漿處理腔室,以相對於一金屬氧化物層選擇性地沉積一聚合物膜於一含矽層上;於一第二時間間隔期間,以包含氟之一蝕刻氣體清洗電漿處理腔室;以及於一第三時間間隔期間,施加一第二電源功率至電源電極,以及一第二偏壓功率至偏壓電極,且使蝕刻氣體流入電漿處理腔室,以蝕刻金屬氧化物層,於第三時間間隔期間,含矽層被覆蓋於聚合物膜之下。
依據本發明之一較佳實施例,一種用於處理基板之方法包含進行包含多個循環之一循環式電漿處理,多個循環中之每一循環包含:以包含碳之一沉積氣體清洗包含基板之一電漿處理腔室,基板包含一硬遮罩以及於一金屬氧化物蝕刻停止層 (etch stop layer,ESL) 上圖案化之一層間介電 (interlevel dielectric,ILD) 層,金屬氧化物蝕刻停止層覆蓋一金屬線,硬遮罩、層間介電層以及金屬氧化物蝕刻停止層包含一外部曝露表面;藉由將基板曝露於由沉積氣體所產生之一第一電漿來進行一區域選擇性電漿沉積處理,以相對於金屬氧化物蝕刻停止層優先沉積一聚合物膜於硬遮罩以及層間介電層上;以包含氟之一蝕刻氣體清洗電漿處理腔室;以及藉由將基板曝露於由蝕刻氣體所產生之一第二電漿來進行一蝕刻處理,以相對於硬遮罩以及層間介電層優先蝕刻金屬氧化物蝕刻停止層。
本揭露內容描述了循環式電漿處理方法的實施例,該方法用於使用包含氟的蝕刻氣體選擇性地去除相對於含矽或其他材料之金屬氧化物材料,而不造成如在腐蝕性蝕刻氣體中所見之金屬腐蝕。如下文進一步所描述的,循環式電漿處理可用於選擇性去除包含金屬氧化物 (例如氧化鋁) 之蝕刻停止層 (ESL),作為半導體裝置製造之鑲嵌後段 (BEOL) 製程之一部分。本文所描述之實施例提供了有效去除金屬氧化物材料,同時減少諸如含矽硬遮罩材料以及層間介電 (ILD) 材料等其他材料的損失並避免金屬腐蝕的優點。本創新方法藉由結合區域選擇性電漿沉積以於含矽材料等上形成保護層,隨後使用含氟非腐蝕性氣體進行蝕刻,以選擇性去除具有很少或沒有保護層之目標金屬氧化物材料來實現此一優點,如下文所進一步詳細描述。雖然於本揭露內容中以銅雙重鑲嵌製程為背景進行了描述,但循環式電漿處理可應用於其它結構中之其它製程,如本領域技術人員所知的。
一般而言,雙重鑲嵌後段 (BEOL) 製程是積體電路製造中形成銅 (Cu) 互連的重要步驟。於雙重鑲嵌BEOL製程中,形成第一凹槽,例如通孔或溝槽,並用暫時填充材料填充第一凹槽。接著,形成第二凹槽,然後用銅金屬填充所形成的整個凹槽,然後進行平坦化。形成通孔以及溝槽之製程可能涉及移除蝕刻停止層 (ESL)。ESL可位於二個阻擋層之間以隔離不同的金屬層。雖然這些多層的堆疊可統稱為蝕刻停止層,但於本揭露內容中,它們分別稱為頂部阻擋層、蝕刻停止層 (ESL) 以及底部阻擋層。儘管碳化矽以及氮化矽已被使用作為傳統的ESL材料,但氧化鋁 (Al 2O 3) 的介電常數較低 (作為薄膜之k值約為4至6) 以及高密度,它可能更適合用於 ESL。然而,氧化鋁ESL的移除一直是個挑戰,且用於蝕刻氧化鋁ESL的傳統方法並不令人滿意。舉例而言,濕式蝕刻製程往往是等向性的,這可能會增加底部的臨界尺寸,從而導致通孔-通孔或通孔-溝槽短路的風險。使用含氯 (Cl) 或溴 (Br) 的氣體 (例如 BCl 3、HBr 和 Cl 2) 進行乾式電漿蝕刻可能會導致金屬線腐蝕。另一方面,非腐蝕性氣體 (例如碳氟化合物) 可用於電漿蝕刻處理,但ESL蝕刻對硬遮罩 (hard mask,HM) 以及層間電介質 (ILD) 材料的選擇性可能較低。於本揭露內容之各種實施例中,所述方法是基於循環式電漿處理,其包含一區域選擇性電漿沉積處理以及使用一種或多種含氟蝕刻氣體之電漿蝕刻處理,且所述方法可有利地提供ESL材料的較佳蝕刻選擇性。這樣的優勢可導致在製造期間改善對半導體裝置之關鍵尺寸的精細控制。
於各種實施例中,執行用於選擇性地移除金屬氧化物層之循環式電漿處理作為半導體製造中雙重鑲嵌後段 (BEOL) 製程之一部分。雖然本揭露內容之循環式電漿處理可描述為雙重鑲嵌BEOL製程之步驟,但循環式電漿處理可應用於單一鑲嵌BEOL製程或其它製程。
於圖1A-1M中,首先說明了依據各種實施例之雙重鑲嵌BEOL處理流程 (溝槽優先),其經歷了用於ESL移除之循環式電漿處理。於圖2A-2E中說明了一替代實施例之雙重鑲嵌BEOL處理流程 (通孔優先)。此外,依據其它替代實施例,圖3A-3C中說明了具有二個區域選擇性電漿沉積處理步驟之循環式電漿處理。參照圖4A-4D,對於不同的實施例進一步描述了循環式電漿處理之幾個處理流程。循環式電漿處理之步驟可在時間上或空間上隔離。為了描述時間/空間的隔離,循環式電漿處理之時間多工實施方式之一個循環之時序圖於圖5A中說明,且於圖5B中說明相對應之處理流程圖。圖6說明了一示例電漿處理系統。圖7A以及7B示出了一替代電漿處理系統以及相對應之空間隔離方法。
圖1A說明了一傳入之基板90之剖面圖。於各種實施例中,基板90可為半導體裝置之一部分,且可在例如傳統處理之後經歷多個處理步驟。因此,基板90可包含在各種微電子中發揮作用的半導體層。舉例而言,半導體結構可包含在其中形成各種裝置區域之基板90。
於一或多個實施例中,基板90可為矽晶圓或絕緣體上矽 (silicon-on-insulator,SOI) 晶圓。於某些實施例中,基板90可包含矽鍺晶圓、碳化矽晶圓、砷化鎵晶圓、氮化鎵晶圓以及其它化合物半導體。於其它實施例中,基板90包含異質層,例如矽上矽鍺、矽上氮化鎵、矽上矽碳,以及矽或SOI基板上之矽層。於各種實施例中,基板90被圖案化或嵌入半導體裝置之其他組件中。
如圖1A所示,基板90可進一步包含於基板上之金屬層100。於一些實施例中,於基板90以及金屬層100之間可以有一或多個層,例如介電層。於各種實施例中,金屬層100可形成為於基板90上圖案化之金屬互連的一部分。於實施例中,金屬層100可包含銅(Cu)、鋁(Al)、摻雜多晶矽、鎢、氮化鈦、氮化鉭、釕以及其它金屬。金屬層100可藉由使用例如物理氣相沉積 (physical vapor deposition,PVD) 的沉積來形成。
可於金屬層100上沉積一介電層作為底部阻擋層115。於某些實施例中,底部阻擋層115可包含矽基介電材料,例如氮化矽以及氮化碳矽 (SiCN)。底部阻擋層115可使用沉積技術進行沉積,例如包含化學氣相沉積 (chemical vapor deposition,CVD)、物理氣相沉積 (PVD) 以及原子層沉積 (atomic layer deposition,ALD) 之氣相沉積,以及例如電漿增強CVD (plasma enhanced CVD,PECVD)、濺射以及其他處理之其它電漿處理。
另一介電層可進一步沉積以作為底部阻擋層115上方之蝕刻停止層 (ESL) 120。於各種實施例中,蝕刻停止層120可包含氧化鋁、氮化鋁或氧化鋯。蝕刻停止層120可使用沉積技術進行沉積,例如包含化學氣相沉積 (CVD)、物理氣相沉積 (PVD) 以及原子層沉積 (ALD) 之氣相沉積,以及例如電漿增強CVD (PECVD)、濺射以及其他處理之其它電漿處理。於某些實施例中,蝕刻停止層120之厚度可介於2nm至5nm之間。
繼續參照圖1A,頂部阻擋層125可形成於蝕刻停止層120上。於某些實施例中,頂部阻擋層125可包含矽基介電材料,例如氮化矽和氮化碳矽 (SiCN)。頂部阻擋層125通常可為與底部阻擋層115相同的材料。頂部阻擋層125可使用沉積技術進行沉積,例如包含化學氣相沉積 (CVD)、物理氣相沉積 (PVD) 以及原子層沉積 (ALD) 之氣相沉積,以及例如電漿增強CVD (PECVD)、濺射以及其他處理之其它電漿處理。
於頂部阻擋層125上,可形成另一介電層作為層間介電 (ILD) 層130。層間介電層130可包含具有低介電常數 (即,低k值) 之矽基介電材料,例如有機矽酸鹽玻璃 (organosilicate glass,SiCOH)、緻密SiCOH、多孔SiCOH以及其它多孔介電材料。層間介電層130可使用沉積技術進行沉積,例如包含化學氣相沉積 (CVD)、物理氣相沉積 (PVD) 以及原子層沉積 (ALD) 之氣相沉積,以及例如電漿增強CVD (PECVD)、濺射以及其他處理之其它電漿處理。
如圖1A進一步所示,第一硬遮罩140可形成於層間介電 (ILD) 層130上。於某些實施例中,第一硬遮罩140可包含氮化矽。於替代實施例中,第一硬遮罩140可包含二氧化矽 (SiO 2) 或氮化鈦。此外,第一硬遮罩140可為堆疊的硬遮罩,例如包含使用兩種不同材料之二或更多層。第一硬遮罩140之第一層可包含金屬基層,例如氮化鈦、鈦、氮化鉭、鉭、鎢基化合物、釕基化合物或鋁基化合物,以及第一硬遮罩140之第二層可包含介電層,例如二氧化矽、氮化矽、氮氧化矽、碳化矽、非晶矽或多晶矽。第一硬遮罩140可使用沉積技術進行沉積,例如包含化學氣相沉積 (CVD)、物理氣相沉積 (PVD) 以及原子層沉積 (ALD) 之氣相沉積,以及例如電漿增強CVD (PECVD)、濺射以及其他處理之其它電漿處理。
第一光阻150可沉積於第一硬遮罩140上,例如,使用塗佈處理或旋塗處理。於各種實施例中,第一光阻150可包含光敏有機材料,且可藉由例如傳統的旋塗技術從溶液中施加至第一硬遮罩140上。如圖1A所示,第一光阻150可使用傳統的微影技術進行圖案化形成圖案。於各種實施例中,第一光阻150之圖案可用於第一凹槽的形成。於某些實施例中,如圖1B所示,第一圖案可用於溝槽的形成。
圖1B說明了於溝槽蝕刻以形成溝槽特徵後之基板90。於圖1A中之第一光阻150作為遮罩層之用,且第一光阻150之圖案被轉移。溝槽蝕刻異向性地移除第一硬遮罩140以及層間介電 (ILD) 層130中未被第一光阻150遮蔽的部分。如圖1B所示,溝槽蝕刻可於頂部阻擋層125將被暴露之前停止,以便在之後的步驟中有空間形成通孔。蝕刻停止層可用於停止溝槽蝕刻,但於一些實施例中,亦可使用定時蝕刻 (timed etch)。於某些實施例中,溝槽蝕刻包含一或多種濕式蝕刻處理、電漿蝕刻處理、反應離子蝕刻 (reactive ion etch,RIE) 處理或這些或其它蝕刻處理的組合。可藉由例如傳統的電漿灰化技術從基板90移除溝槽蝕刻後之任何殘留的第一光阻150。
圖1C說明了於溝槽再填充以及第二硬遮罩142及第二光阻152沉積之後之基板90。於溝槽再填充處,在移除任何殘留的第一硬遮罩140之後,被稱為間隙填充材料之填充材料160或有機底部抗反射塗層 (bottom antireflective coating,BARC) 填充於上一步驟中所形成之溝槽特徵。填充材料160可由有機溶劑之溶液旋塗而成。於一些實施例中,填充材料160可使用包含氣相沉積之其它沉積技術進行沉積。可以使用諸如化學機械平坦化處理之平坦化處理來平坦化填充材料160之表面,使其與層間介電層130之主表面共平面。
此外,繼續參照圖1C,施加第二硬遮罩142以及第二光阻152以進行下一步驟之圖案化。第二硬遮罩142可類似於前述之第一硬遮罩140,儘管於一些實施例中它可以具有不同的成分。第二硬遮罩142可使用沉積技術進行沉積,例如包含化學氣相沉積 (CVD)、物理氣相沉積 (PVD) 以及原子層沉積 (ALD) 之氣相沉積,以及例如電漿增強CVD (PECVD)、濺射以及其他處理之其它電漿處理。第二光阻152可類似於前述之第一光阻150,儘管於一些實施例中它可以具有不同的成分。藉由例如傳統的旋塗技術從溶液中將第二光阻152施加於第二硬遮罩142上。
圖1D說明了在藉由一或多個微影處理定義第二光阻152之圖案之後之基板90。於各種實施例中,第二光阻152之圖案可用於第二凹槽的形成。於某些實施例中,如圖1E所示,第二光阻152之圖案可用於通孔的形成。
圖1E說明了在通孔蝕刻隨後移除填充材料160之後之基板90。圖1D中之第二光阻152作為遮罩層之用,且第二光阻152之圖案被轉移。通孔蝕刻異向性地移除第二硬遮罩142、填充材料160以及層間介電 (ILD) 層130中未被第二光阻152遮蔽的部分。殘留的第二光阻152以及填充材料160可藉由例如傳統的電漿灰化技術移除。於此移除步驟中,於填充材料160上方隔離之第二硬遮罩142的部分亦可被移除。於某些實施例中,通孔蝕刻處理包含一或多種濕式蝕刻蝕刻、電漿蝕刻處理、反應離子蝕刻 (RIE) 處理或這些或其它蝕刻處理的組合。
圖1F說明了於移除所形成之通孔底部之頂部阻擋層125之後之基板90。於各種實施例中,頂部阻擋層之移除可藉由蝕刻處理來執行,在隨後之循環式電漿處理 (例如圖1G-1I) 之前曝露出蝕刻停止層 (ESL) 120之一部分。於某些實施例中,頂部阻擋層125之蝕刻包含一或多種濕式蝕刻處理、電漿蝕刻處理、反應離子蝕刻 (RIE) 處理或這些或其它蝕刻處理的組合。於某些實施例中,通孔蝕刻以及頂部阻擋層125之蝕刻能夠以單一蝕刻步驟來執行。於某些實施例中,以下所述之循環式電漿處理能夠以實現通孔蝕刻或頂部阻擋層125之蝕刻之至少一部分目的的方式執行。
於某些實施例中,於執行循環式電漿處理之前,可執行可選之預處理,以化學或物理地修飾存在於基板90上之層 (例如圖 1F中之第二硬遮罩142以及層間介電 (ILD) 層130) 之表面末端官能基的組成,以提高後續循環式電漿處理的選擇性。舉例而言,可選之預處理可為使用含氫還原劑之電漿處理。於一實施例中,可執行使用分子氫 (H 2) 之電漿處理。
圖1G說明了於區域選擇性電漿沉積處理以形成聚合物膜165之後之基板90。於各種實施例中,聚合物膜165的形成是循環式電漿處理之第一電漿步驟。於各種實施例中,藉由將基板90曝露於沉積氣體之電漿,可相對於蝕刻停止層120選擇性地於第二硬遮罩142及層間介電 (ILD) 層130上方形成聚合物膜165。於各種實施例中,於第二硬遮罩142或層間介電 (ILD) 層130上相對於蝕刻停止層120之沉積速率可為5:1至1000:1,使得可於蝕刻停止層120上形成很少或沒有聚合物膜。因此,聚合物膜165於第二硬遮罩142或層間介電 (ILD) 層130上比蝕刻停止層120厚得多。
於各種實施例中,沉積氣體包含聚合物膜前驅物之混合物,其包含碳以及稀釋劑。舉例而言,於各種實施例中,聚合物膜前驅物為烷烴。於某些實施例中,沉積氣體為甲烷 (CH 4) 以及氬氣 (Ar) 之任意比例混合物。於一些實施例中,沉積氣體可進一步包含氫氣 (H 2) 或氮氣 (N 2)。於一些實施例中,沉積氣體可進一步包含乙烷、乙烯以及其它烴。
於一實施例中,區域選擇性電漿沉積處理可使用CH 4及Ar之氣體混合物於20°C至120°C之間之處理溫度下進行,其中相對於包含氧化鋁之蝕刻停止層 (ESL) 120,聚合物膜165優先形成於第二硬遮罩142以及層間介電 (ILD) 層130上,其包含諸如氮化矽、氧化矽以及有機矽酸鹽玻璃 (SiCOH) 之含矽材料。氧化矽可藉由例如電漿增強CVD或使用正矽酸四乙酯 (tetraethyl orthosilicate,TEOS) 作為前驅物之可流動CVD進行製備。於不同實施例中,相對於包含氧化鋁之蝕刻停止層120,聚合物膜165可優先形成於包含氮化鈦之第二硬遮罩142上。
圖1H說明了於循環式電漿處理之第二電漿步驟中使用包含氟之氣體蝕刻蝕刻停止層120之後之基板90。當蝕刻停止層120之曝露部分被異向性蝕刻時,由圖1G中上述步驟之第一電漿步驟 (區域選擇性電漿沉積處理) 所形成之聚合物膜165作為保護層之用,以保護第二硬遮罩142以及層間介電層130。形成於第二硬遮罩142或層間介電 (ILD) 層130上之較厚聚合物膜165保護下面的材料免於受到蝕刻,從而甚至可使用非選擇性或更少的蝕刻劑。如果存在於蝕刻停止層120上之任何聚合物膜165更薄,因此將首先被蝕刻,然後曝露的蝕刻停止層120將被蝕刻。
於各種實施例中,含氟蝕刻氣體可包含對包含銅之金屬沒有腐蝕性之氣體。於各種實施例中,含氟氣體不含氯或溴,且在一段長時間內不會引起金屬線的金屬腐蝕。這與含氯或溴氣體不同,含氯或溴氣體可能於產品壽命期間引起銅的腐蝕而導致產品故障。
於各種實施例中,含氟蝕刻氣體包含四氟甲烷 (CF 4)、三氟化氮 (NF 3)、氟仿 (CHF 3)、二氟甲烷 (CH 2F 2)、氟甲烷 (CH 3F) 及其組合。含氟蝕刻氣體可選擇不包含硫,硫可能導致金屬線的金屬腐蝕,其類似於如上所述之氯或溴。於某些實施例中,蝕刻氣體更包含任意比例之氧氣 (O 2)。於一或多個實施例中,蝕刻氣體更包含按體積計0.1%至10%之氧氣。 蝕刻氣體可進一步包含稀釋劑,例如任意比例之氬 (Ar) 及氮 (N 2)。於各種實施例中,區域選擇性電漿沉積 (第一電漿步驟) 以及隨後之蝕刻電漿處理 (第二電漿步驟) 可重複,以實現選擇性移除蝕刻停止層之目標量。循環式電漿處理之各種實施例將參考圖4A-4D以進一步說明。
於某些實施例中,相對於聚合物膜保護之第二硬遮罩142以及層間介電 (ILD) 層130,其包含諸如氮化矽、氧化矽以及有機矽酸鹽玻璃 (SiCOH)之含矽材料,蝕刻電漿處理 (第二電漿步驟) 於60°C至120°C之間之處理溫度下使用四氟甲烷 (CF 4) 之電漿優先去除包含氧化鋁的蝕刻停止層120。於不同實施例中,相對於聚合物膜保護之包含氮化鈦之第二硬遮罩142,包含氧化鋁之蝕刻停止層120可被優先蝕刻。
圖1I說明了完成循環式電漿處理之後之基板90。依據各種實施例,蝕刻停止層120中對應於圖1D中所定義之第二光阻152之圖案之部分被移除,而將通孔延伸至底部阻擋層115。於圖1I所示之實施例中,循環式電漿處理之處理參數可被優化,以從第二硬遮罩142以及層間介電層130不僅完全移除蝕刻停止層120,而且還完全移除聚合物膜165。
於各種實施例中,於循環式電漿處理之後,可執行雙重鑲嵌後段 (BEOL) 處理之進一步步驟以形成金屬互連。圖1J說明了於蝕刻以移除底部阻擋層115之一部分、而根據所形成之通孔之圖案曝露出金屬層100之一部分之後之基板90。於某些實施例中,底部阻擋層115之蝕刻包含一或多種濕式蝕刻處理、電漿蝕刻處理、反應離子蝕刻 (RIE) 處理或這些或其它蝕刻處理的組合。於某些實施例中,底部阻擋層115之蝕刻之至少一部分或雙重鑲嵌後段處理之任何其它後續步驟可整合為上述循環式電漿處理之一部分。
圖1K說明了於擴散阻擋層170沉積之後之基板90。於某些實施例中,擴散阻擋層170可包含鉭、氮化鉭、鈦或氮化鈦。擴散阻擋層旨在防止金屬層100中之金屬在製造過程中擴散至其他部件中。擴散阻擋層170可使用沉積技術,諸如濺射、包含化學氣相沉積 (CVD)、物理氣相沉積 (PVD) 以及原子層沉積 (ALD)之氣相沉積,以及諸如電漿增強CVD (PECVD) 以及其它處理之其它電漿處理進行沉積。
圖1L說明了於金屬沉積之後之基板90。金屬沉積可藉由使用濺射或物理氣相沉積 (PVD) 技術進行金屬 (例如銅) 的種子層沉積,然後進行電鍍。於此金屬沉積步驟中,通孔以及溝槽被金屬填充。如圖1L所示,於各種實施例中,與金屬層100相同之金屬通常可用於金屬沉積。
最後,圖1M說明了於平坦化之後之基板90,其中藉由例如化學機械平坦化 (CMP) 方法移除了多餘的金屬。
於圖1A-1M所示之示例實施例中,溝槽蝕刻 (圖1B ) 於通孔蝕刻 (圖1E) 之前進行。這個順序被稱為溝槽優先。然而,於其它實施例中,通孔蝕刻可溝槽蝕刻之前執行 (通孔優先),如下圖2A-2E所示。
於圖2A中,傳入之基板90具有與前述之先前 (溝槽優先) 之實施例相似的結構,其中層堆疊包含金屬層100、底部阻擋層115、蝕刻停止層 (ESL) 120、頂部阻擋層125、層間介電 (ILD) 層130。於層間介電層130上方,形成第一硬遮罩240。可於第一硬遮罩240上形成第一光阻250,其具有用於通孔蝕刻之圖案,類似於圖1D中之圖案。第一硬遮罩240以及第一光阻250可分別類似於圖1A中之第一硬遮罩140以及第一光阻150,儘管於一些實施例中,它們可具有不同的成分。
圖2B說明了於通路蝕刻之後之基板90。圖2A中之第一光阻250作為遮罩層之用,且第一光阻250的圖案被轉移。如先前實施例所述,可使用適當的蝕刻處理來進行通孔蝕刻,以異向性地移除未被第一光阻250遮蔽之第一硬遮罩240以及層間介電 (ILD) 層130之部分,並曝露出位於所形成之通孔底部之頂部阻擋層125。
圖2C說明了於通孔再填充以及第二硬遮罩242及第二光阻252沉積之後之基板90。於通孔再填充處,於移除任何殘留之第一硬遮罩240之後,填充材料260填充於先前步驟所形成之通孔特徵中。填充材料260可類似於填充材料160,儘管於一些實施例中,它可具有不同的成分。填充材料260可由有機溶劑之溶液旋塗。於一些實施例中,填充材料260可使用包含氣相沉積之其它沉積技術進行沉積。可使用諸如化學機械平坦化處理之平坦化處理來平坦化填充材料260之表面,以與層間介電層130之主表面共平面。
此外,於圖2C中,類似於圖1C,第二硬遮罩242以及第二光阻252形成於層間介電層130以及填充材料260上方。第二硬遮罩242以及第二光阻252可分別類似於第一硬遮罩240以及第一光阻250,儘管它們可具有不同的成分。類似於圖1C中之第二硬遮罩142,第二硬掩模242可使用適當之沉積技術進行沉積。第二光阻252可藉由例如傳統的旋塗技術從溶液施加至第二硬遮罩242上。
圖2D說明了藉由一或多個微影處理定義第二光阻252之圖案之後之基板90。第二光阻252之圖案可用於溝槽的形成。
圖2E說明了於溝槽蝕刻之後之基板90。於圖2D中圖案化之第二光阻252作為遮罩層之用,且第二光阻252之圖案被轉移。如前述之先前實施例,溝槽蝕刻可使用適當之蝕刻處理來進行,以異向性地移除未被第二光阻252遮蔽之第二硬遮罩242、填充材料260以及層間介電 (ILD) 層130的部分。如圖2E所示,可進行溝槽蝕刻以完全移除填充材料260,以曝露出位於通孔底部之頂部阻擋層,但於未被第二光阻252遮蔽之層間介電層130的部分將被完全去除之前停止。蝕刻停止層可用於停止溝槽蝕刻,但於一些實施例中,亦可使用定時蝕刻。任何殘留之第二光阻252可藉由例如傳統的電漿灰化技術移除。於此階段,基板90有具有溝槽以及通孔特徵之結構,類似於圖1E所示之由溝槽優先實施例所形成之結構。
隨後,可類似於先前實施例 (例如,分別為圖1F、圖1G-1I以及圖1J-1M) 進行頂部阻擋層125的移除、循環式電漿處理以及隨後之處理。
儘管先前實施例被描述為雙重鑲嵌後段 (BEOL) 處理之一部分,但本揭露內容中之循環式電漿處理不限於雙重鑲嵌後段處理,且可應用於其它製程,以選擇性地移除某種材料 (例如氧化鋁),其具有在其它材料 (例如含矽材料) 上選擇性地形成的一或多個保護層。於一實施例中,循環式電漿處理可應用於單一鑲嵌後段處理中。於單一鑲嵌後段處理的一個循環中,可僅形成一個諸如溝槽或通孔的凹槽,而不是於雙重鑲嵌後段處理中形成的二個凹槽。舉例而言,循環電漿蝕刻可於通孔形成之後進行 (例如圖2B)。
於各種實施例中,循環式電漿處理可包含二個隔離的區域選擇性電漿沉積處理步驟。利用實施例方法,從包含兩種材料以上之表面對目標材料之選擇性蝕刻可被改善。於循環式電漿處理之前溝槽以及通孔的形成可藉由溝槽優先法 (例如,圖1A-1E) 或通孔優先法 (例如,圖2A-2E) 來進行,得到與 如圖1F所示相同的結構。依據這些實施例之循環式電漿處理之後續步驟於圖3A-3C中說明。
於圖3A中,說明了於使用第一沉積氣體之第一區域選擇性電漿沉積處理 (第一電漿步驟) 之後之基板90。第一沉積氣體可類似於之前參照圖1G所述之沉積氣體。 如圖所示,相對於層間介電 (ILD) 層130以及蝕刻停止層 (ESL) 120,第一聚合物膜360可優先形成於第二硬遮罩142上。第一聚合物膜360之組成可類似於前述之聚合物膜165,且以類似的方式形成。於此階段,舉例而言,可選擇第一沉積氣體之組成以及其它處理參數,以實現對於第二硬遮罩142之高沉積速率及/或選擇性。
接著,於圖3B中,說明了於使用第二沉積氣體之第二區域選擇性電漿沉積處理 (第二電漿步驟) 之後之基板90。相對於第二硬遮罩142以及蝕刻停止層120,可於層間介電層130上優先形成與第一聚合物膜360之組成不同的第二聚合物膜362。第一聚合物膜360、第二聚合物膜362或二者可在組成上類似於聚合物膜165。於一實施例中,第一及第二聚合物膜360及362可在組成上相同,但藉由為第一及第二電漿步驟選擇不同之處理參數而以不同的速率形成。第二沉積氣體可類似於先前參照圖1G所述之沉積氣體。舉例而言,第二沉積氣體包含包含碳之第二聚合物膜前驅物以及稀釋劑之混合物。於某些實施例中,第二聚合物膜前驅物為烷烴。於某些實施例中,沉積氣體為甲烷 (CH 4) 以及氬氣 (Ar)以任意比例之混合物。於一些實施例中,沉積氣體可進一步包含氫氣 (H 2) 或氮氣 (N 2)。第二沉積氣體可與用於第一區域選擇性電漿沉積處理之第一沉積氣體相同或不同。可選擇第二沉積氣體之組成以及其它處理參數,以實現對於層間介電 (ILD) 層130之高沉積速率及/或選擇性。
於一實施例中,第一電漿步驟之處理參數可針對形成於包含矽之硬遮罩上之第一聚合物膜360而優化,而第二電漿步驟之處理參數可針對形成於包含低k介電質 (例如SiCOH) 之層間介電層130上之第二聚合物膜362而優化,這兩種條件相對於包含氧化鋁之蝕刻停止層 (ESL) 120仍具有選擇性。
圖3C說明了於循環式電漿處理之第三電漿步驟中,使用包含氟之氣體蝕刻蝕刻停止層120之後之基板90。 如先前於圖1H中所述,蝕刻停止層120之曝露部分被異向性蝕刻。藉由上述第一及第二區域選擇性電漿沉積處理步驟 (第一及第二電漿步驟) 所形成之第一及第二聚合物膜360及362作為保護層之用,以分別保護第二硬遮罩142以及層間介電層130。
儘管上述實施例 (例如圖3A-3C) 包含二個區域選擇性電漿沉積處理步驟,但於替代實施例中,循環式電漿處理可包含任意數量之區域選擇性電漿沉積處理步驟以及一或多個蝕刻處理。
於下文中,圖4A-4D說明了對應於前述實施例之循環式電漿處理之處理流程圖,其中圖4A對應於圖1G-1I,圖4B對應於圖1A-1M,圖4C對應於圖2A-2E以及圖1F-1M,以及圖4D對應於圖3A-3C。
於各種實施例中,循環式電漿處理之所有步驟,例如對應於圖1G-1I,可在電漿處理工具內進行。
於圖4A中,依據各種實施例,說明了循環式電漿處理之處理流程。循環式電漿處理 (區塊450A) 包含四個主要步驟:以包含碳之沉積氣體清洗電漿處理工具之電漿處理腔室 (區塊451),將基板曝露於由沉積氣體產生之電漿 (區塊452) (例如圖1G),以包含氟之蝕刻氣體清洗電漿處理腔室 (區塊455),以及將基板曝露於蝕刻氣體之電漿 (區塊456) (例如圖1H)。循環式電漿處理之每一步驟可在時間上或空間上隔離。於某些實施例中,循環式電漿處理可於電漿處理腔室之單個部分中藉由時間多工處理來進行,於圖5以及圖6中詳細說明。於其它實施例中,循環式電漿處理可於電漿處理腔室之分離的部分中進行,且基板可從一個部分傳送至另一部分以過渡至下一個步驟,參照圖7A以及7B所示。
作為循環式電漿處理之第一步,於區塊451中,電漿處理腔室以包含碳之沉積氣體如甲烷 (CH 4) 清洗,且可使基板溫度於處理溫度下達到平衡。於各種實施例中,基板溫度可藉由例如加熱器來增加,以及藉由以溫度控制器控制的水冷或液氦冷卻進行冷卻。
其次,於區塊452中,循環式電漿處理之第一電漿步驟 (區域選擇性電漿沉積) 由諸如電漿增強化學氣相沉積 (PECVD)工具 (例如圖1G) 之電漿沉積工具進行。藉由從一或多個電漿電源提供電漿源能量,於電漿處理腔室中產生沉積氣體之電漿,且基板曝露於沉積氣體之電漿。用於聚合物膜165之前驅物質可被電漿激發以形成自由基。這些自由基可吸附於表面上並且於電漿聚合過程中彼此隨機反應以形成聚合物膜165 (例如圖1G)。不同材料間之吸附差異會導致不同的電漿聚合速率,從而導致不同的薄膜生長速率。因此,諸如電源功率、偏壓功率、氣體流速、處理壓力、處理溫度、處理時間以及氣體組成等處理參數可被優化,以實現區域選擇性形成聚合物膜。
接著,於區塊455中,藉由關閉電漿電源以終止第一電漿步驟之後,以包含氟之蝕刻氣體清洗電漿處理腔室。於各種實施例中,基板溫度可保持與第一電漿步驟相同,或者可於另一處理溫度下達到平衡。於各種實施例中,基板溫度可藉由例如加熱器來增加,以及藉由以溫度控制器控制的水冷或液氦冷卻進行冷卻。
於區塊456中,進行第二電漿步驟以蝕刻金屬氧化物 (例如圖1H中之蝕刻停止層120)。如圖1H所示,聚合物膜165亦可於此步驟期間被部分或完全移除。蝕刻氣體之電漿於電漿處理腔室中產生,且基板曝露於蝕刻氣體之電漿。於某些實施例中,聚合物膜165以及包含氧化鋁之蝕刻停止層120被異向性蝕刻,同時保留其它材料 (例如,圖1H中之第二硬遮罩142以及層間介電 (ILD) 層130)。
於一些傳統方法中,使用非腐蝕性氣體之電漿蝕刻傾向於導致非選擇性蝕刻。對於移除諸如氧化鋁之金屬氧化物材料之典型條件通常會損壞其他材料,例如可作為硬遮罩以及層間介電 (ILD) 層之用之含矽材料。然而,利用本揭露內容中之實施例方法,由於於第一電漿步驟中之區域選擇性沉積期間形成於此類材料上之聚合物膜的存在,可有利地最小化或完全消除此類損壞。諸如電源功率、偏壓功率、氣體流速、處理壓力、處理溫度、處理時間以及氣體組成之處理參數可被優化,以有效地蝕刻目標金屬氧化物材料,同時亦可完成聚合物膜的移除。
於某些實施例中,於任何清洗步驟 (例如區塊451及455) 之前,可將電漿處理腔室抽至真空。此外,於一些實施例中,可於步驟之間插入一或多個附加處理步驟。附加處理可為真空下之熱處理、濕式處理、類似於預處理 (區塊445) 之電漿處理或其它處理。
於各種實施例中,可重複這四個步驟 (區塊451、452、455及456) 之循環,以實現所期望的處理性能。一個循環可從四個步驟中之任一步驟開始。
於圖4B中,依據某些實施例,循環式電漿處理可用於選擇性地從基板移除蝕刻停止層 (ESL),以作為採用溝槽優先法 (例如圖1A-1M) 之雙重鑲嵌後段 (BEOL) 處理之一部分。
基板可能已經歷了各種製造步驟,並具有包含第一硬遮罩140以及第一光阻150之層堆疊 (區塊400) (例如圖1A)。首先,進行溝槽蝕刻 (區塊410) (例如圖1B),以形成如前所述之溝槽特徵。接著,進行溝槽再填充、第二硬遮罩142以及第二光阻152之沉積、第二光阻152之圖案化 (區塊420) (例如圖1C及1D)。隨後,進行通孔蝕刻 (區塊430) (例如圖1E)。接著,能夠可選擇地移除頂部阻擋層125 (區塊440) (例如圖1F)。於一些實施例中,移除頂部阻擋層125的這個步驟可整合至隨後的循環式電漿處理中 (區塊450B) (例如圖1G-1I)。此外,可進行可選擇的預處理 (區塊445),以化學或物理地修飾存在於基板90上之層 (例如圖1F中之第二硬遮罩142以及層間介電 (ILD) 層130) 之表面末端官能基的組成,以提高後續循環式電漿處理的選擇性。接著進行如先前參照圖4A中之區塊450A所述之循環式電漿處理 (區塊450B) (例如圖1G-1I)。
繼續參照圖4B,於完成循環式電漿處理 (區塊450B)之後,能夠可選擇地移除底部阻擋層115 (區塊460) (例如圖1J)。於一些實施例中,移除底部阻擋層115的這個步驟可整合至先前的循環式電漿處理中 (區塊450B) (例如圖1G-1I)。隨後,進行擴散阻擋層170的沉積、金屬沉積以及平坦化,以完成形成金屬互連的循環 (區塊470) (例如圖1K-1M)。
圖4C說明了依據替代實施例 (例如圖2A-2E及1F-1M) 採用通孔優先法之雙重鑲嵌後段 (BEOL) 處理之示例處理流程圖。
類似於採用溝槽優先法之前述實施例,基板可能已經歷了各種製造步驟,並具有包含第一硬遮罩240以及第一光阻250之層堆疊 (區塊402) (例如圖2A)。首先,進行通孔蝕刻 (區塊412) (例如圖2B),以形成如前所述之通孔特徵。接著,進行通孔再填充、第二硬遮罩242以及第二光阻252之沉積、第二光阻252之圖案化 (區塊422) (例如圖2C及2D)。然後進行溝槽蝕刻 (區塊432) (例如圖2E)。隨後的處理可類似於先前所述之溝槽優先實施例的處理流程 (例如圖1F-1M及圖4B)。頂部阻擋層125能夠可選擇地被移除 (區塊442) (例如圖1F),儘管於一些實施例中,移除頂部阻擋層125的這個步驟可整合至隨後之循環式電漿處理中 (區塊450C) (例如圖1G-1I)。此外,可進行可選擇的預處理 (區塊447),以化學或物理地修飾存在於基板90上之層 (例如,圖1F中之第二硬遮罩142以及層間介電 (ILD) 層130) 之表面末端官能基的組成,以提高後續循環式電漿處理之選擇性。循環式電漿處理 (區塊450C) 以及隨後的處理可類似於前述之先前實施例 (例如圖4B中之區塊450B、460及470)。於完成循環式電漿處理 (區塊450C)之後,能夠可選擇地移除底部阻擋層115 (區塊462) (例如,圖1J),儘管於一些實施例中,移除底部阻擋層115的這個步驟可整合至先前的循環式電漿處理中 (區塊 450C) (例如,圖1G-1I)。最後,進行擴散阻擋層170的沉積、金屬沉積以及平坦化 (區塊472) (例如圖1K-1M)。
圖4D說明了依據不同實施例 (例如圖3A-3C) 之包含二個區域選擇性電漿沉積處理步驟以及一個蝕刻處理之循環式電漿處理之示例處理流程圖。如前所述,於某些實施例中,包含二個區域選擇性電漿沉積處理步驟之循環式電漿處理可用於實現兩種優化條件,其用於相對於目標蝕刻材料於兩種不同材料上之兩種聚合物膜的電漿沉積。
於這些實施例中,循環式電漿處理可包含六個步驟。除了前述之四個步驟之外 (例如圖4A-4C中之區塊451、452、455及456),於第一區域選擇性電漿沉積步驟 (區塊452) 之後,插入使用第二沉積氣體之清洗步驟 (區塊453) 以及使用第二沉積氣體之區域選擇性電漿沉積步驟 (區塊454)。
於本揭露內容中,描述了循環式電漿處理以及替代實施例之細節,重點在於主要的四個步驟:二個清洗步驟以及一區域選擇性電漿沉積預一蝕刻 (例如圖4A中之區塊450A)。然而,可以設想的是,這樣的細節可適用於包含任何數量之電漿沉積以及蝕刻處理之循環式電漿處理 (例如用於具有二個沉積處理步驟以及一個蝕刻處理之示例之區塊450D)。
圖5A說明了循環式電漿處理之時間多工實現之一個循環中的四個步驟的時序圖,例如圖4A中所示之流程圖。圖5A中之六個水平軸表示時間的進展。用於循環式電漿蝕刻處理230之一個循環的四個步驟的四個時間間隔T1、T2、T3及T4由相鄰於一時間軸之四個雙箭頭示意性地示出。參照圖4A,T1、T2、T3及T4分別對應於以沉積氣體進行清洗 (區塊451)、區域選擇性電漿沉積處理 (區塊452)、以蝕刻氣體進行清洗 (區塊455) 以及電漿蝕刻 (區塊456)。與時間軸相交之四條垂直虛線界定出不重疊之時間間隔T1、T2、T3及T4。於某些實施例中,T1可為大約5至大約60秒,T2可為大約1至大約5秒,T3可為大約5至大約60秒,以及T4可為大約1至5秒。依據各自的處理配方 (recipe),時間間隔T1、T2、T3及T4的持續時間可不相等。六個時間軸用於示意性地顯示如圖4A所示之示例性循環式電漿蝕刻處理450A之多個循環中之一個循環之六個處理參數對時間之線圖。圖5A中所繪製之六個處理參數是電源功率、偏壓功率、處理壓力、沉積氣體之氣體流速、蝕刻氣體之氣體流速以及稀釋氣體之氣體流速。
儘管於圖5A中未具體描述,但是任何其它處理參數,例如溫度,可依據對應之處理配方於循環式電漿處理之每一步驟中獨立地控制。於某些實施例中,時間間隔T1以及T3可被調整,以分別穩定T2及T4之處理溫度。
於各種實施例中,基板維持在5°C至120°C之間的溫度。於一些實施例中,溫度可於循環式電漿處理之每一步驟中改變,且於產生電漿之前使用清洗步驟來穩定係統。於替代實施例中,於電漿步驟期間,即時間間隔T2及T4,溫度可程式控制升高或冷卻。
於圖5A所示之時間間隔T1期間,沉積氣體被導入以清洗電漿處理腔室,同時關閉電源功率以及偏壓功率。於某些實施例中,稀釋氣體亦可選擇性地使用。於各種實施例中,總氣體流量可介於20至1000sccm之間。處理壓力可介於5至100mTorr之間。於一些實施例中,CH 4/Ar之氣體流量為30/150sccm,且處理壓力為10mTorr。
藉由開啟電源功率以及偏壓功率來執行從時間間隔T1切換至下一個時間間隔T2,以進行區域選擇性電漿沉積。於此步驟中,聚合物膜的形成需要富含自由基的條件。為了實現富含自由基的環境,可施加足夠高的電源功率。於各種實施例中,電源功率可介於50W至1000W之間,且偏壓功率可介於0W至200W之間。總氣體流量可介於20至1000sccm之間。處理壓力可介於5至100mTorr之間。於一些實施例中,電源功率為500W,且偏壓功率為100W。CH 4/Ar之氣體流量為30/150sccm。處理壓力為10mTorr。於某些實施例中,稀釋氣體之氣體流速可於T1及T2之間改變。
接著,藉由關閉電源功率以及偏壓功率來啟動時間間隔T3。同時,氣流也從沉積氣體切換至蝕刻氣體,以清洗電漿處理腔室。氣體流速獨立地選自於T1及T2中之條件。於各種實施例中,總氣體流量可介於20至1000sccm之間。處理壓力可介於5至100mTorr之間。於一些實施例中,CF 4/CHF 3/Ar之氣體流量為60/60/520sccm,處理壓力為10mTorr。
於完成以蝕刻氣體清洗電漿處理腔室之後,藉由開啟電源功率以及偏壓功率來啟動時間間隔T4,以釋放蝕刻氣體以產生電漿。於蝕刻步驟中,重要的是要達到異向性蝕刻的條件,以使位於通孔底部之材料被充分地蝕刻,同時對側壁造成很少或沒有損壞。對於異向性蝕刻的條件,與沉積步驟相比,需要相對高的偏壓功率。於各種實施例中,電源功率可介於10至1000W之間,且偏壓功率可介於50至500W之間。總氣體流量可介於20至1000sccm之間。處理壓力可介於5至100mTorr之間。於一些實施例中,電源功率為50W,偏壓功率為600W。CF 4/CHF 3/Ar之氣體流量為60/60/520sccm,處理壓力為10mTorr。
於時間間隔T4之後,可進行四個時間間隔之下一個循環。此循環可重複任意次數以實現所期望的處理性能。儘管圖5A說明了用於循環式電漿處理之一個循環的一組條件,但於各種實施例中,每一循環可具有與第一循環不同之處理參數的條件,處理參數包含任一時間間隔 (T1、T2、T3及T4)、氣體流速、氣體組成、處理壓力、處理溫度、電源功率以及偏壓功率。
此外,需注意的是,圖5A中之線圖僅用於說明目的。舉例而言,圖中顯示了處理參數以階梯函數的形式變化,但可以理解的是,處理參數之瞬時變化是無法實現的,且於物理參數穩定之前需要考慮有限的響應時間。此外,如上所述,於任一時間間隔 (T1、T2、T3及T4)之後,可插入一或多個附加處理步驟,例如排氣、真空下之熱處理、濕式處理、電漿處理等。
圖5B說明了依據圖5A中之實施例之循環式電漿處理之流程圖。於第一時間間隔 (T1) (區塊510),如果電源功率以及偏壓功率開啟,則關閉它們,包含碳之沉積氣體以及稀釋氣體以第一氣體流速導入容納基板之電漿處理腔室,以清洗電漿處理腔室。於第二時間間隔 (T2) (區塊520),以第一準位施加電源功率以形成沉積氣體之電漿,並將基板曝露於沉積氣體之電漿。於第三時間間隔 (T3) (區塊530),關閉電源功率、第一偏壓功率以及沉積氣體,並將包含四氟甲烷 (CF 4)、三氟化氮 (NF 3)、氟仿 (CHF 3) 、二氟甲烷(CH 2F 2)或氟甲烷(CH 3F) 之蝕刻氣體以及稀釋氣體以第二氣體流速導入電漿處理腔室,以清洗電漿處理腔室。最後,於第四時間間隔 (T4) (區塊540),以第二準位施加電源功率以形成蝕刻氣體之電漿,並且將基板曝露於蝕刻氣體之電漿。
圖6說明了用於進行時間多工實施之循環式電漿蝕刻處理之電漿系統600,例如圖4A-4C所示之流程圖。電漿系統600具有電漿處理腔室650,其被配置為於裝載至基板支架610上之基板602上方直接維持電漿。處理氣體可經由氣體入口622導入電漿處理腔室650,且可經由氣體出口624泵出電漿處理腔室650。氣體入口622以及氣體出口624可分別包含一組多個氣體入口以及氣體出口。氣體流速以及腔室壓力可由耦合至氣體入口622以及氣體出口624之氣體流量控制系統620加以控制。氣體流量控制系統620可包含各種部件,例如高壓氣體罐、閥門 (例如節流閥)、壓力感測器、氣體流量感測器、真空泵、管路以及電子可程式控制器。射頻 (RF) 偏壓電源634以及射頻 (RF) 源電源630可耦合至電漿處理腔室650之相對應電極。基板支架610亦可為耦合至RF偏壓電源634之電極。RF源電源630顯示耦合至螺旋電極632,其圍繞介電側壁616盤繞。於圖6中,氣體入口622為頂板612中之一開口,以及氣體出口624為底板614中之一開口。頂板612以及底板614可為導電,且電性連接至系統之接地 (參考電位)。
電漿系統600僅作為示例。於各種替代實施例中,電漿系統600可配置為維持電感耦合電漿 (inductively coupled plasma,ICP) 或電容耦合電漿(capacitively coupled plasma,CCP) 於電漿處理腔室650中,其中電感耦合電漿具有耦合至頂部介電蓋上之平面線圈之RF源電源,電容耦合電漿使用圓盤形頂部電極維持。氣體入口以及出口可耦合至電漿處理腔室之側壁,且於一些實施例中,亦可使用脈衝式RF電源以及脈衝式直流 (direct current,DC) 電源。
圖7A及7B說明了用於進行空間隔離實施之循環式電漿處理之電漿系統700,其中圖7A為俯視圖,以及圖7B是剖面圖。於電漿系統700中,每一循環之四個組成可於空間電漿處理腔室740之四個空間隔離部分中進行。循環式電漿蝕刻處理之每一循環之四個組成可藉由將基板移動通過使用例如可旋轉台710之空間電漿處理腔室740之四個空間隔離部分進行。
於圖7A所示之俯視圖中,可旋轉台710被分成四個部分,第一清洗部分745、第一電漿部分750、第二清洗部分755以及第二電漿部分760。這四個部分可藉由例如惰性氣體簾730隔離。每一部分可包含一或多個氣體入口以及出口。於圖7A中,第一電漿部分750具有氣體入口722 以及氣體出口724,第二電漿部分760具有氣體入口723以及氣體出口725,且二個清洗部分745及755具有氣體入口720以及氣體出口726。以沉積氣體的清洗 (圖4A-4D中之區塊451) 可於第一清洗部分745中進行,區域選擇性電漿沉積 (圖4A-4D中之區塊452) 於第一電漿部分750中進行,以蝕刻氣體之吹掃 (圖4A-4D中之區塊455) 於第二清洗部分755中進行,電漿蝕刻 (圖4A-4D中之區塊456) 於第二電漿部分760中進行。
舉例而言,如圖7A所示,多個基板可裝載於可旋轉台710上。第一基板702,如圖所示其裝載於第一電漿部分750中之可旋轉台710上,可經歷循環式電漿蝕刻處理之多個循環之一個循環的沉積步驟,然後移動至第二清洗部分755,接著進行下一個電漿蝕刻步驟。同時,另一基板704,於電漿蝕刻步驟之後,移回至第一清洗部分745,接著進行下一個循環中之電漿沉積步驟。因此,多個基板可同時經歷循環式電漿處理之不同步驟。可旋轉台710的一圈將等同於進行循環式電漿蝕刻處理之一個循環。
於圖7B所示之剖面圖中,電漿部分750及760為可見的。於示例性之空間電漿處理腔室740中,電漿部分750及760被配置為使用耦合至RF電源714之頂部電極712來維持電容耦合電漿 (CCP)。在圖7B所示之示例實施例中,可旋轉台710電性耦合至接地。接地之可旋轉台710可作為底部電極。氣體出口724、725及726可連接至氣體流量系統之真空泵,且被控制以維持對應部分的所需壓力以及氣體流量。惰性氣體簾730其中之一由虛線示意性地指示。惰性氣體簾730可為經由氣體入口720其中之一導入之惰性氣體流 (例如氬氣或氦氣)。
這裡總結本發明之示例性實施例。其它實施例亦可從所申請之整體說明書以及申請專利範圍理解。
示例1:一種用於處理基板之方法,該方法包含:進行包含多個循環之循環式電漿處理,多個循環中之每一循環包含以包含碳之第一沉積氣體清洗包含基板之電漿處理腔室,基板包含第一層以及第二層,第一層包含矽,第二層包含金屬氧化物;將基板曝露於由第一沉積氣體所產生之第一電漿,以相對於第二層選擇性地沉積第一聚合物膜於第一層上;以包含氟之蝕刻氣體清洗電漿處理腔室;以及將基板曝露於由蝕刻氣體所產生之第二電漿以蝕刻第二層。
示例2:示例1之方法,其中所述基板包含第三層,其包含矽以及氧,第三層是與第一層不同的材料,且於基板曝露於第一電漿期間,其中第一聚合物膜相對於第二層選擇性地沉積於第三層上。
示例3:示例1或2其中之一之方法,更包含將基板曝露於由包含碳之第二沉積氣體所產生之第三電漿,以相對於第二層選擇性地沉積第二聚合物膜於第三層上。
示例4:示例1至3其中之一之方法,更包含於循環式電漿處理之前,進行預處理步驟以修飾第一層或第二層之表面末端官能基之組成。
示例5:示例1至4其中之一之方法,其中將基板曝露於沉積氣體之電漿以及將基板曝露於蝕刻氣體之電漿是藉由於電漿處理腔室之固定區域中之時間多工處理而於時間上隔離的。
示例6:示例1至5其中之一之方法,其中將基板曝露於沉積氣體之電漿以及將基板曝露於蝕刻氣體之電漿是空間上隔離於電漿處理腔室之隔離部分中。
示例7:示例1至6其中之一之方法,其中第一層包含氮化矽或二氧化矽,且其中第二層包含氧化鋁。
示例8:示例1至7其中之一之方法,更包含:於曝露於第一電漿期間,將基板維持在第一溫度;以及於曝露於第二電漿期間,將基板維持在第二溫度,第二溫度不同於第一溫度。
示例9:示例1至8其中之一之方法,其中第一沉積氣體包含甲烷 (CH 4),且其中蝕刻氣體包含四氟甲烷 (CF 4)、三氟化氮(NF 3)、氟仿(CHF 3)、二氟甲烷 (CH 2F 2) 或氟甲烷 (CH 3F)。
示例10:示例1至9其中之一之方法,其中第一沉積氣體更包含一混合物,其包含氫 (H 2)、氬 (Ar) 或氮 (N 2)。
示例11:一種處理基板之方法,該方法包含:進行包含多個循環之循環式電漿處理,多個循環中之一個循環包含:於第一時間間隔期間,施加第一電源功率至電漿處理腔室之電源電極,以及第一偏壓功率至電漿處理腔室之偏壓電極,且使包含碳之沉積氣體流入電漿處理腔室,以相對於金屬氧化物層選擇性地沉積聚合物膜於含矽層上;於第二時間間隔期間,以包含氟之蝕刻氣體清洗電漿處理腔室;以及於第三時間間隔期間,施加第二電源功率至電源電極,以及第二偏壓功率至偏壓電極,且使蝕刻氣體流入電漿處理腔室,以蝕刻金屬氧化物層,於第三時間間隔期間,含矽層被覆蓋於聚合物膜之下。
示例12:示例11之方法,其中多個循環中之循環更包含:於第四時間間隔期間,以沉積氣體清洗電漿處理腔室。
示例13:示例11或12其中之一之方法,第一電源功率高於第二電源功率,且第二偏壓功率高於第一偏壓功率。
示例14:示例11至13其中之一之方法,其中多個循環中之循環更包含:使稀釋氣體於第一、第二、第三時間間隔內流過電漿處理腔室,於第二時間間隔期間之稀釋氣體流速高於第一時間間隔。
示例15:示例11至14其中之一之方法,其中沉積氣體包含烴,稀釋氣體包含氬氣,蝕刻氣體包含四氟甲烷 (CF 4)、三氟化氮 (NF 3)、氟仿 (CHF 3)、二氟甲烷 (CH 2F 2) 或氟甲烷 (CH 3F)。
示例16:示例11至15其中之一之方法,其中金屬氧化物層包含氧化鋁。
示例17:示例11至16其中之一之方法,其中選擇第三時間間隔以完全移除聚合物膜。
示例18:一種用於處理基板之方法,該方法包含:進行包含多個循環之循環式電漿處理,多個循環中之每一循環包含:以包含碳之沉積氣體清洗包含基板之電漿處理腔室,基板包含硬遮罩以及於金屬氧化物蝕刻停止層 (ESL) 上圖案化之層間介電 (ILD) 層,金屬氧化物蝕刻停止層 (ESL) 覆蓋金屬線,硬遮罩、層間介電層以及金屬氧化物蝕刻停止層包含外部曝露表面;藉由將基板曝露於由沉積氣體所產生之第一電漿來進行區域選擇性電漿沉積處理,以相對於金屬氧化物蝕刻停止層優先沉積聚合物膜於硬遮罩以及層間介電層上;以包含氟之蝕刻氣體清洗電漿處理腔室;以及藉由將基板曝露於由蝕刻氣體所產生之第二電漿來進行蝕刻處理,以相對於硬遮罩以及層間介電層優先蝕刻金屬氧化物蝕刻停止層。
示例19:示例18之方法,其中金屬氧化物蝕刻停止層包含氧化鋁,以及其中沉積氣體包含甲烷,以及其中蝕刻氣體包含四氟甲烷 (CF 4)、三氟化氮 (NF 3)、氟仿 (CHF 3)、二氟甲烷 (CH 2F 2) 或氟甲烷 (CH 3F)。
示例20:示例18或19其中之一之方法,其中循環式電漿處理為雙重鑲嵌處理的一部分。
儘管已參照說明性實施例說明了本發明,但是該說明不旨在被解釋為限制性的。藉由參照說明,說明性實施例以及本發明之其它實施例的各種修改以及組合對本領域技術人員是顯而易見的。因此,所附申請專利範圍旨在涵蓋任何此類修改或實施例。
100:金屬層 115:底部阻擋層 120:蝕刻停止層 125:頂部阻擋層 130:層間介電層 140:第一硬遮罩 142:第二硬遮罩 150:第一光阻 152:第二光阻 160:填充材料 165:聚合物膜 170:擴散阻擋層 240:第一硬遮罩 242:第二硬遮罩 250:第一光阻 252:第二光阻 260:填充材料 360:第一聚合物膜 362:第二聚合物膜 400:步驟 402:步驟 410:步驟 412:步驟 420:步驟 422:步驟 430:步驟 432:步驟 440:步驟 442:步驟 445:步驟 447:步驟 450A:循環式電漿處理 450B:用於選擇性蝕刻之循環式電漿處理 450C:用於選擇性蝕刻之循環式電漿處理 450D:循環式電漿處理 451:步驟 452:步驟 453:步驟 454:步驟 455:步驟 456:步驟 460:步驟 462:步驟 470:步驟 472:步驟 500:循環式電漿處理 510:步驟 520:步驟 530:步驟 540:步驟 600:電漿系統 602:基板 610:基板支架 612:頂板 614:底板 616:介電側壁 620:氣體流量控制系統 622:氣體入口 624:氣體出口 630:射頻源電源 632:螺旋電極 634:射頻偏壓電源 650:電漿處理腔室 700:電漿系統 702:第一基板 704:基板 710:可旋轉台 712:頂部電極 714:RF電源 720:氣體入口 722:氣體入口 723:氣體入口 724:氣體出口 725:氣體出口 726:氣體出口 730:惰性氣體簾 740:空間電漿處理腔室 745:第一清洗部分 750:第一電漿部分 755:第二清洗部分 760:第二電漿部分 90:基板 T1:時間間隔 T2:時間間隔 T3:時間間隔 T4:時間間隔
為了更完整地理解本發明及其優點,現在請參考以下與附圖有關之描述,其中:
圖1A-1M說明了依據各種實施例於雙重鑲嵌後段 (back-end-of-line,BEOL) 處理流程中經歷循環式電漿處理 (圖1G-1I) 的各種中間製造階段之基板之剖面圖,其中圖1A說明了傳入的基板,圖1B說明了於溝槽蝕刻之後,圖1C說明了於溝槽再填充之後,圖1D說明了於光阻圖案化之後,圖1E說明了於通孔蝕刻之後,圖1F說明了於移除頂部阻擋層之後,圖1G說明了區域選擇性電漿沉積處理之後,圖1H說明了蝕刻停止層 (ESL) 蝕刻之後,圖1I說明了循環式電漿處理完成之後,圖1J說明了於底部阻擋層移除之後,圖1K說明了於擴散阻擋層沉積之後,圖1L說明了金屬化之後,以及圖1M說明了平坦化之後;
圖2A-2E說明了依據替代實施例於雙重鑲嵌後段 (BEOL) 處理流程中經歷循環式電漿處理的各種中間製造階段之基板之剖面圖,其中圖2A說明了傳入的基板,圖2B說明了於通孔蝕刻之後,圖2C說明了通孔再填充之後,圖2D說明了光阻圖案化之後,以及圖2E說明了溝槽蝕刻之後;
圖3A-3C說明了依據不同實施例於經歷循環式電漿處理之基板之剖面圖,其中圖3A說明了於第一區域選擇性電漿沉積處理之後之基板,圖3B說明了於第二區域選擇性電漿沉積處理之後之基板,以及圖3C說明了蝕刻停止層 (ESL) 蝕刻之後;
圖4A-4D說明了依據各種實施例之循環式電漿處理之流程圖,其中圖4A說明了依據圖1G-1I中所述之實施例之循環式電漿處理,圖4B示出了依據圖1A-1M中所述之示例實施例之包含循環式電漿處理之雙重鑲嵌BEOL處理 (溝槽優先),圖4C示出了依據圖2A-2E以及1F-1M中所述之替代實施例之包含循環式電漿處理 (通孔優先) 之另一雙重鑲嵌BEOL處理,以及圖4D說明了依據圖3A-3C中所述之其它替代實施例之循環式電漿處理;
圖5A以及5B說明了電漿處理工具中之循環式電漿處理之實施例方法,其中圖5A說明了循環式電漿處理之時間多工實施方式之一個循環之時序圖,以及圖5B說明了依據圖5A中之實施例之循環式電漿處理之流程圖;
圖6說明了用於執行循環式電漿蝕刻處理之時間多工實施方式之電漿系統之剖面圖,如圖4A-4D以及5A-5B中之流程圖所示;以及
圖7A以及7B說明了用於執行循環式電漿處理之空間隔離實施方式之電漿系統,如圖4A-4D以及5A-5B中之流程圖所示,其中圖7A說明了俯視圖以及圖7B說明了剖面圖。
450A:循環式電漿處理
451:步驟
452:步驟
455:步驟
456:步驟

Claims (20)

  1. 一種用於處理基板之方法,該方法包含: 進行包含多個循環之一循環式電漿處理,該多個循環中之每一循環包含 以包含碳之一第一沉積氣體清洗包含基板之一電漿處理腔室,該基板包含一第一層以及一第二層,該第一層包含矽,且該第二層包含金屬氧化物; 將該基板曝露於由該第一沉積氣體所產生之一第一電漿,以相對於該第二層選擇性地沉積一第一聚合物膜於該第一層上; 以包含氟之一蝕刻氣體清洗該電漿處理腔室;以及 將該基板曝露於由該蝕刻氣體所產生之一第二電漿以蝕刻該第二層。
  2. 如請求項1所述之方法,其中該基板包含一第三層,其包含矽以及氧,該第三層是與該第一層不同的材料,且其中,於該基板曝露於該第一電漿期間,該第一聚合物膜相對於該第二層選擇性地沉積於該第三層上。
  3. 如請求項2所述之方法,更包含: 將該基板曝露於由包含碳之一第二沉積氣體所產生之一第三電漿,以相對於該第二層選擇性地沉積一第二聚合物膜於該第三層上。
  4. 如請求項1所述之方法,更包含: 於循環式電漿處理之前,進行一預處理步驟以修飾該第一層或該第二層之表面末端官能基之一組成。
  5. 如請求項1所述之方法,其中將該基板曝露於該第一沉積氣體之該第一電漿以及將該基板曝露於該蝕刻氣體之該第二電漿是藉由於該電漿處理腔室之一固定區域中之時間多工處理而於時間上隔離的。
  6. 如請求項1所述之方法,其中將該基板曝露於該第一沉積氣體之該第一電漿以及將該基板曝露於該蝕刻氣體之該第二電漿是空間上隔離於該電漿處理腔室之隔離部分中。
  7. 如請求項1所述之方法,其中該第一層包含氮化矽或二氧化矽,且其中該第二層包含氧化鋁。
  8. 如請求項1所述之方法,更包含: 於曝露於該第一電漿期間,將該基板維持在一第一溫度;以及 於曝露於該第二電漿期間,將該基板維持在一第二溫度,該第二溫度不同於該第一溫度。
  9. 如請求項1所述之方法,其中該第一沉積氣體包含甲烷 (CH 4),且其中該蝕刻氣體包含四氟甲烷 (CF 4)、三氟化氮(NF 3)、氟仿(CHF 3)、二氟甲烷 (CH 2F 2) 或氟甲烷 (CH 3F)。
  10. 如請求項1所述之方法,其中該第一沉積氣體更包含一混合物,其包含氫 (H 2)、氬 (Ar) 或氮 (N 2)。
  11. 一種處理基板之方法,該方法包含: 進行包含多個循環之一循環式電漿處理,該多個循環中之一個循環包含: 於一第一時間間隔期間,施加一第一電源功率至一電漿處理腔室之一電源電極,以及一第一偏壓功率至該電漿處理腔室之一偏壓電極,且使包含碳之一沉積氣體流入該電漿處理腔室,以相對於一金屬氧化物層選擇性地沉積一聚合物膜於一含矽層上; 於一第二時間間隔期間,以包含氟之一蝕刻氣體清洗該電漿處理腔室;以及 於一第三時間間隔期間,施加一第二電源功率至該電源電極,以及一第二偏壓功率至該偏壓電極,且使該蝕刻氣體流入該電漿處理腔室,以蝕刻該金屬氧化物層,於該第三時間間隔期間,該含矽層被覆蓋於該聚合物膜之下。
  12. 如請求項11所述之方法,其中該多個循環中之該循環更包含: 於一第四時間間隔期間,以該沉積氣體清洗該電漿處理腔室。
  13. 如請求項11所述之方法,其中該第一電源功率高於該第二電源功率,且該第二偏壓功率高於該第一偏壓功率。
  14. 如請求項1所述之方法,其中該多個循環中之該循環更包含: 使一稀釋氣體於該第一、第二、第三時間間隔內流過該電漿處理腔室,於該第二時間間隔期間之該稀釋氣體的流速高於該第一時間間隔。
  15. 如請求項11所述之方法,其中該沉積氣體包含烴,該稀釋氣體包含氬氣,該蝕刻氣體包含四氟甲烷 (CF 4)、三氟化氮 (NF 3)、氟仿 (CHF 3)、二氟甲烷 (CH 2F 2) 或氟甲烷 (CH 3F)。
  16. 如請求項11所述之方法,其中該金屬氧化物層包含氧化鋁。
  17. 如請求項11所述之方法,其中選擇該第三時間間隔以完全移除該聚合物膜。
  18. 一種用於處理基板之方法,該方法包含: 進行包含多個循環之一循環式電漿處理,該多個循環中之每一循環包含: 以包含碳之一沉積氣體清洗包含基板之一電漿處理腔室,該基板包含一硬遮罩以及於一金屬氧化物蝕刻停止層 (ESL) 上圖案化之一層間介電 (ILD) 層,該金屬氧化物蝕刻停止層覆蓋一金屬線,該硬遮罩、該層間介電層以及該金屬氧化物蝕刻停止層包含一外部曝露表面; 藉由將該基板曝露於由該沉積氣體所產生之一第一電漿來進行一區域選擇性電漿沉積處理,以相對於該金屬氧化物蝕刻停止層而優先沉積一聚合物膜於該硬遮罩以及該層間介電層上; 以包含氟之一蝕刻氣體清洗該電漿處理腔室;以及 藉由將該基板曝露於由該蝕刻氣體所產生之一第二電漿來進行一蝕刻處理,以相對於該硬遮罩以及該層間介電層而優先蝕刻該金屬氧化物蝕刻停止層。
  19. 如請求項18所述之方法,其中該金屬氧化物蝕刻停止層包含氧化鋁,以及其中該沉積氣體包含甲烷,以及其中該蝕刻氣體包含四氟甲烷 (CF 4)、三氟化氮 (NF 3)、氟仿 (CHF 3)、二氟甲烷 (CH 2F 2) 或氟甲烷 (CH 3F)。
  20. 如請求項18所述之方法,其中該循環式電漿處理為一雙重鑲嵌處理之一部分。
TW111120828A 2021-06-04 2022-06-06 循環式電漿處理 TW202314851A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/339,495 US11961735B2 (en) 2021-06-04 2021-06-04 Cyclic plasma processing
US17/339,495 2021-06-04

Publications (1)

Publication Number Publication Date
TW202314851A true TW202314851A (zh) 2023-04-01

Family

ID=84285402

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111120828A TW202314851A (zh) 2021-06-04 2022-06-06 循環式電漿處理

Country Status (5)

Country Link
US (1) US11961735B2 (zh)
JP (1) JP2024521903A (zh)
KR (1) KR20240016999A (zh)
TW (1) TW202314851A (zh)
WO (1) WO2022256558A1 (zh)

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5820770A (en) 1992-07-21 1998-10-13 Seagate Technology, Inc. Thin film magnetic head including vias formed in alumina layer and process for making the same
TW294831B (zh) 1995-04-26 1997-01-01 Handotai Energy Kenkyusho Kk
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
US6696222B2 (en) * 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask
US6905968B2 (en) * 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
DE10338422B4 (de) * 2003-08-18 2007-08-16 Infineon Technologies Ag Selektiver Plasmaätzprozess zur Aluminiumoxid-Strukturierung und dessen Verwendung
US7125792B2 (en) * 2003-10-14 2006-10-24 Infineon Technologies Ag Dual damascene structure and method
US20050211668A1 (en) * 2004-03-26 2005-09-29 Lam Research Corporation Methods of processing a substrate with minimal scalloping
KR101063796B1 (ko) * 2004-05-28 2011-09-09 매그나칩 반도체 유한회사 반도체 소자의 다마신 패턴 형성 방법
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
KR102068677B1 (ko) * 2013-04-10 2020-01-22 삼성전자 주식회사 반도체 소자 제조 방법
US9514953B2 (en) * 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
US9768033B2 (en) * 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US9595451B1 (en) * 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US9659955B1 (en) 2015-10-28 2017-05-23 Sandisk Technologies Llc Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
US10388515B2 (en) * 2015-11-16 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment to control deposition rate
TWI734201B (zh) * 2016-02-22 2021-07-21 日商東京威力科創股份有限公司 圖案化層之循環式蝕刻的方法
US9859153B1 (en) * 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
US10256144B2 (en) * 2017-04-26 2019-04-09 Applied Materials, Inc. Process integration approach of selective tungsten via fill
US10468297B1 (en) * 2018-04-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-based etch-stop layer
US11164780B2 (en) * 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
US11024537B2 (en) * 2019-08-09 2021-06-01 Applied Materials, Inc. Methods and apparatus for hybrid feature metallization
US20210407801A1 (en) * 2020-06-29 2021-12-30 Applied Materials, Inc. Methods for producing high-density doped-carbon films for hardmask and other patterning applications

Also Published As

Publication number Publication date
WO2022256558A1 (en) 2022-12-08
KR20240016999A (ko) 2024-02-06
JP2024521903A (ja) 2024-06-04
US11961735B2 (en) 2024-04-16
US20220392765A1 (en) 2022-12-08

Similar Documents

Publication Publication Date Title
TWI524423B (zh) 蝕刻及灰化期間低k材料之側壁保護
KR101896724B1 (ko) 배선 패터닝을 위한 하드 마스크 제거 중의 측벽 및 챔퍼 보호
US7618889B2 (en) Dual damascene fabrication with low k materials
US7125792B2 (en) Dual damascene structure and method
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
JP2002270586A (ja) 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
US7572734B2 (en) Etch depth control for dual damascene fabrication process
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
TW202131406A (zh) 使用電漿處理的金屬膜蝕刻方法
KR102279612B1 (ko) 금속화 패턴 프로파일링을 위한 건식 에칭 방법
US7253115B2 (en) Dual damascene etch processes
US7091612B2 (en) Dual damascene structure and method
TW202111870A (zh) 用於混成特徵金屬化之方法與設備
TW202314851A (zh) 循環式電漿處理
TW202236520A (zh) 半導體裝置的形成方法
JP2005005697A (ja) 半導体装置の製造方法
US20230420267A1 (en) Oxygen-free etching of non-volatile metals
US20220375759A1 (en) Cyclic Plasma Etching Of Carbon-Containing Materials
TW202349465A (zh) 用於金屬蝕刻的預蝕刻處理
TW202414590A (zh) 非揮發性金屬的無氧蝕刻