TW202131406A - 使用電漿處理的金屬膜蝕刻方法 - Google Patents

使用電漿處理的金屬膜蝕刻方法 Download PDF

Info

Publication number
TW202131406A
TW202131406A TW109136202A TW109136202A TW202131406A TW 202131406 A TW202131406 A TW 202131406A TW 109136202 A TW109136202 A TW 109136202A TW 109136202 A TW109136202 A TW 109136202A TW 202131406 A TW202131406 A TW 202131406A
Authority
TW
Taiwan
Prior art keywords
layer
plasma
ruthenium
plasma processing
etching
Prior art date
Application number
TW109136202A
Other languages
English (en)
Inventor
尼可拉斯 喬伊
代韋 可迪
青云 楊
那森 P 馬卡克
塞巴斯蒂安 烏爾里希 昂熱爾曼
Original Assignee
日商東京威力科創股份有限公司
美商國際商業機器股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司, 美商國際商業機器股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202131406A publication Critical patent/TW202131406A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Geometry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種電漿處理的方法,其包含將一電漿處理室維持在10℃至200℃之間,將氧及氮流入該電漿處理室中,其中該氮的流量與該氧的流量之比例係介於約1:5至約1:1之間,以及藉由將電漿保持在該電漿處理室中來蝕刻一釕/鋨層。

Description

使用電漿處理的金屬膜蝕刻方法
[相關申請案] 本申請案主張2019年10月21日提交的美國專利申請案第16/658,620號之優先權,其所有揭露內容皆以參照的方法引入本文中。
本發明係關於電漿處理,且尤其是關於用於蝕刻金屬膜之電漿製程。
通常,用於例如行動電話、數位相機和電腦之電子設備中的半導體裝置係透過使用微影及蝕刻以形成用來作為電路組件(例如電晶體、電阻及電容)和互連元件(例如導線、接點及通孔)的結構而在半導體基板上方依次沉積和圖案化介電層、導電層和半導體材料層來製造。在低成本電子產品需求的推動下,隨著微影技術的創新(例如浸沒式微影和多重圖案化),半導體業已多次降低半導體裝置中之最小特徵尺寸到幾奈米,以增加組件的封裝密度,從而降低積體電路(IC)的成本。在使用三維(3D)結構(例如鰭式場效電晶體(FIinFET))、且在一些情況下將例如記憶體儲存元件(例如鐵電電容器、磁性隧道接合面(MTJ)等)以及在連續互連層級間之層中的精密被動組件(例如薄膜電阻(TFR)和金屬-絕緣體-金屬(MIM)電容)的電子組件堆疊,而達成進一步的密度增加及成本降低。
例如反應性離子蝕刻(RIE)、電漿增強化學氣相沉積(PECVD)、電漿增強原子層蝕刻和沉積(PEALE和PEALD)、濺射蝕刻、物理氣相沉積(PVD)和循環式蝕刻沉積(例如博世蝕刻製程 the Bosch etch process)的電漿處理技術在製造IC上已經成為不可或缺。在IC製造中使用之例如半導體、絕緣體(包含SiO2 、Si3 N4 、高k閘極介電質以及低k介電質)、磁性和鐵電膜以及用於互連線及電極之金屬的材料多樣性使得發展電漿製程成為一項挑戰。縮小至幾奈米更加劇了挑戰性。電漿處理係被期望提供奈米範圍內之精確尺寸(例如線寬、蝕刻深度和膜厚度)以及通常在原子尺度上均勻分佈在寬(例如300 mm)的晶圓上之精確控制的特徵,例如保形性、各向異性、選擇性、表面和線邊緣粗糙度以及邊緣輪廓。此外,引入小於20nm之特徵尺寸的非習知材料(例如Co和Ru)可能在開發與習知之Si IC製造相容的電漿蝕刻和沉積製程中會引起新的問題。
要克服在提供用於規模化半導體裝置製造的電漿處理技術方面的障礙是需要進一步創新的挑戰。
根據本發明的一實施例,一種電漿處理的方法包含將一電漿處理室維持在10℃至200℃之間,將氧及氮流入該電漿處理室中,其中氮流量與氧流量之比例係介於約1:5至約1:1之間,以及藉由將電漿保持在該電漿處理室中來蝕刻一釕/鋨層。
根據本發明的一實施例,一種電漿處理的方法包含將氧及氮流入一電漿處理室中,將電漿保持在該電漿處理室中,將放置在該電漿處理室中之基板暴露至該電漿,該基板包含一釕/鋨層,以及以第一速率蝕刻該釕/鋨層而形成一特徵部。在該基板中心的一點處之該第一速率的值比上在該基板邊緣的一點處之該第一速率的值係在0.85到1.15之間變化,且於該蝕刻之後,該釕/鋨層的表面粗糙度係在0.1 nm及1 nm之間變化。
根據本發明的一實施例,一種裝置的形成方法包含於一基板上方沉積一絕緣層,該絕緣層包含一主要外表面;於該絕緣層中形成一開口;沉積包含釕/鋨之第一導電材料,該第一導電材料係覆蓋該主要外表面且覆蓋該開口之側壁;以及使用電漿蝕刻處理,將該第一導電材料自該主要外表面移除並留下覆蓋一部分側壁的剩餘部分,其中使用該電漿蝕刻處理係包含將氧及氮流入一電漿處理室中。
在每兩年就加倍電路密度的需求驅動下,半導體IC行業已週期性地降低電晶體和互連線的最小特徵尺寸。目前,IC的產生通常稱為10 nm節點,其在下部互連層級的密集堆疊金屬線係使用小於40 nm的節距,而對於到電晶體的接點則使用約50 nm節距。以這些及更小的尺寸,傳統銅(Cu)線和鎢(W)接點的互連RC延遲可能會限制數位電路的速度。在10nm及以下的節點引入新的材料以取代緻密Cu線和W接點。釕(Ru)金屬是在這些和其他應用中替代銅和鎢的主要候選材料。類似地,鋨(Os)是類似於釕的另一種金屬,也可能是未來應用的候選材料。然而,使用化學機械拋光(CMP)移除釕是困難且昂貴的。因此,吾人希望有直接電漿蝕刻技術來除去釕/鋨,以例如形成具有垂直邊緣的Ru-線或者使用直接釕回蝕來形成Ru-插塞。
為了適合用於半導體製造,一種用於移除Ru/Os的方法預期會提供幾個特性:高蝕刻速率且對相鄰材料具高選擇性、整個基板之高蝕刻均勻性、用於各向異性蝕刻之低線邊緣粗糙度、以及用於回蝕之光滑頂表面、和用於垂直邊緣輪廓之可控各向異性而無桁缺陷。這種方法目前以傳統製程並不可行。本揭露內容係描述對含Ru元素及與其他金屬之Ru合金的導電材料之薄膜使用電漿製程進行蝕刻的方法的實施例。
在本揭露內容中所描述之電漿蝕刻方法的發明特徵係能夠在移除釕/鋨的整個區域上達成更均勻的蝕刻速率、降低因蝕刻製程而外露之釕/鋨之新表面的粗糙度、以及更高的蝕刻選擇性(例如在處理期間相對於可能暴露於反應物的其他材料的蝕刻速率而言,Ru的蝕刻速率較高),如下所進一步描述者。雖然在實施例中係描述選擇性移除沉積在半導體晶圓上的釕/鋨,吾人應理解的是,相同的蝕刻化學品可用於移除一些其它材料(例如RuO2 )以及設置在其它表面(例如從電漿處理室的內壁移除薄膜的清潔製程)上方的膜。
本發明實施例所提供的方法可以包含電漿輔助蝕刻製程,以用於例如從具有Ru/Os層的傳入半導體基板移除Ru/Os,且可以在電漿處理裝置中執行電漿輔助蝕刻製程。取決於正在使用Ru/Os層的應用,除了要處理的Ru/Os層之外,半導體基板還可以具有其他材料的其他層。Ru/Os層可以是一層釕、一層鋨、或是包含Ru層/Os層的疊層。根據本發明之一實施例,本電漿輔助蝕刻製程首先係描述一組處理參數範例以及圖1所示之電漿處理設備範例。參考圖2-7更詳細地描述了應用於不同裝置製造的電漿輔助蝕刻製程的幾個示範實施例。
圖1顯示根據本發明之一實施例之電漿處理設備的橫剖面圖。
參考圖1,電漿處理設備1000係用於蝕刻至少一部分的金屬膜,例如純釕層或釕與其他金屬的合金、純鋨層或與其他金屬的合金、或其組合。電漿處理設備1000包含可以在其中執行蝕刻製程的電漿處理室150。
電漿處理室150包含側壁101、底座106和頂蓋104,其在操作期間共同將電漿實質包圍在電漿處理腔室150中。除了介電窗125(例如石英窗)外,側壁101、底座106和頂蓋104可以由塗覆有例如釔氧化物(例如Yx Oy 或Yx Oy Fz )膜的導電材料(例如不銹鋼或鋁)製成。在圖1所示的範例中,介電窗125可以是橫跨圓柱形電漿處理室150之頂蓋104之主要部分的圓形窗口。
平面導電線圈110係設置在電漿處理室150外部並與介電窗125相鄰。如精於本技藝者已知的,可以對其他部件進行其他電連接。例如,腔室壁101、106和104可以連接到參考DC電位(例如接地電位)、(靜電)基板支架140可以分接(例如連接到地面、不同的DC偏壓、或RF偏壓、或DC和RF偏壓的組合),可以將例如光學放射光譜儀(OES)感應器143、四極質譜儀(QMS)144和朗繆爾探針(Langmuir probe)(未顯示)的感應器連接到外部分析儀。電漿處理室150和平面線圈110可以容置在外殼145內,外殼145可以是法拉第籠或密閉外殼。
基板支架140係設置在電漿處理設備1000中。在各個實施例中,基板支架140可以是位於電漿處理設備1000底部附近的碟形靜電支架。
半導體基板141(例如半導體晶圓)係放置在基板支架140上方。要在電漿處理設備1000之處理室150內進行處理之例如包含Ru的金屬膜可以放在半導體基板141上。
藉由氣體輸入系統(例如圖1所示的氣體輸入系統105)透過側壁101中的開口將電漿源氣體引入電漿處理室150。氣體輸入系統105可以包含多個輸入,且可以在處理期間將不同的氣體注入電漿處理室150中。在底座106中的開口為氣體出口115。包含排氣泵和控制閥(例如節流閥)的真空系統(未顯示)可以連接到氣體出口115,以保持所需的氣體壓力以及氣體輸入系統105和氣體出口115之間的氣體流量。如精於本技藝者已知的,可以使用包含多個感應器和控制器的控制系統來動態地監測和控制電漿製程,例如分別在電漿處理室150中以及在氣體輸入系統105和氣體出口115中之氣體混合物的組成、流量、溫度和壓力。
如精於本技藝者已知的,在處理期間,可藉由耦合來自平面線圈110的RF功率來維持電漿處理室150中的電漿放電,平面線圈110係藉由將其分接點連接到合適電路的各個節點(例如接地、RF功率源和阻抗匹配網路)而適當配置。例如離子和電子密度以及能量分佈的電漿參數、離子通量與自由基通量的比率以及鞘部中的電場可以取決於平面線圈110的配置和RF源頻率。例如,平面線圈110可經設計並配置為匹配網路中的RF諧振器或RF元件。在處理期間,當電漿處理設備連接到變頻RF電源(未顯示)時,RF功率可以從平面線圈110感應地和/或電容地耦合到電漿。在一些應用中,可以使用具有高RF源頻率(例如在13.56MHz、27.15 MHz、或60MHz的頻帶)的高密度電漿。
在此描述之具有平面線圈110的電漿處理裝置1000僅是舉例;吾人應當理解可以使用其它類型的電漿源,例如ECR、螺旋、和微波電漿源。
可使用例如氫電漿和/或氧電漿來執行電漿處理室150的電漿預處理,以實質從側壁101、底座106和頂蓋104移除吸附的鹵素(例如Cl2 、CF4 、CCl4 等)。在進一步的實施例中,電漿處理室150可以在形成用於處理的電漿放電之前以例如N2 或Ar的吹掃氣體進行清洗。電漿預處理步驟和清洗步驟可以減少或消除與鹵素有關的問題,如下面進一步討論。在處理期間,處理控制系統可以使用例如OES和QMS的原位感應器(例如圖1中的OES感應器143和QMS感應器144)進行材料分析,以檢測和控制鹵素原子的濃度。
根據在本揭露內容的實施例中,為了電漿放電而藉由氣體輸入系統105引入電漿處理室150的氣體混合物包含O原子源、N原子源和通常Ar、Kr、或Xe原子(VIIIA族元素之相對重及穩定的原子)中的至少一個源。在下面詳細描述的實施例中,Ar氣已經被用作電漿源氣體之一。在一些其他實施方案中,惰性氣體Kr(84原子質量單位)和Xe(131原子質量單位)可以取代Ar,但成本較高。
根據在本揭露內容的實施例中,如在下面的表I中列出之以各種比例混合之Ar、O2 、和N2 氣體混合物已被使用作為電漿源氣體。使用從外部RF電源(未顯示)連接到電漿處理設備1000之平面線圈110的電源而在電漿處理腔室150產生並保持與半導體基板141接觸之直接電漿。電漿中的氧(例如氧自由基(O*))可能會與暴露於電漿的表面部分的Ru原子發生反應,以形成Ru的揮發性氧化物(例如RuO4 和一些RuO3 )。在製程條件(例如溫度和壓力)下具有高揮發性的副產物可與其他廢氣一起透過氣體出口115離開電漿處理室150,從而提供了較少腔室清潔次數的好處,以從側壁101及介電窗125移除沉積物。
根據下面表I中列出的值,可以調整電漿蝕刻製程的處理參數,以便實現釕主要由化學反應移除,而不是透過物理濺射移除的表面環境。例如,DC偏壓電壓和RF偏壓功率可以在各自範圍(表Ⅰ中所列)內進行調整,以控制離子能量不超過外露金屬可能會發生實質濺射蝕刻的程度。然而,如下面進一步詳細討論的,可以提供足夠的偏壓以用離子(例如氬離子Ar+ )轟擊外露的表面,從而引起一些表面損傷。如此會提高從水平表面之受損部分選擇性地移除Ru的速率。在下表I列出的示範實施例中,已經達成使用Ar、O2 、和N2 電漿源氣體的混合物在室溫下蝕刻Ru。如表I所示,釕的蝕刻可以在較低的溫度下進行,例如在10℃至200℃之間,且在一實施例中是在10℃至50℃之間。 表I
電漿源氣體 Ar/O 2 /N 2 混合物 最小值 最大值 示範實施例
Ar(按體積計) 0% 45% 16%
O2 (按體積計) 50% 85% 53%
N2 (按體積計) 15% 35% 32%
Ar流量 0 sccm 150 sccm 53 sccm
O2 流量 50 sccm 300 sccm 175 sccm
N2 流量 50 sccm 120 sccm 105 sccm
腔室壓力 10 mTorr 500 mTorr 50 mTorr
晶圓溫度 10°C 200°C 40度
RF源功率 1 kW 3 kW 2.5 kW
RF源頻率 13 MHz 5000 MHz 2540 MHz
RF偏壓功率 0 kW 0.2 kW 0.1 kW
RF偏壓頻率 2 MHz 15 MHz 2 MHz
本申請案之發明人已觀察到透過增加少量的N2 到Ar/O2 /N2 電漿源氣體混合物提供多個優點,如下所述。
例如,當將N2 添加到Ar和O2 的電漿源氣體混合物中時,Ru的移除速率顯著增加。在一例中,當電漿中之氮與氧原子(N:O)的數量比為0:1時,釕並不蝕刻,但將繼續以非零蝕刻速率蝕刻,例如,在一次測試中當透過添加氮而使比例增加至0.3:1時,蝕刻速率為5.4奈米/分鐘。因此觀察到在氮的低水平時N和O* 的數目之間的經驗相關性。因此,在各個實施例中,隨著氮流量對氧流量的比例增加,釕的蝕刻速率也會增加。
鹵素添加劑(例如Cl2 、CCl4 、CF4 等)也可以提供Ru蝕刻速率的等量(甚至更高)增加,但是蝕刻製程可能不如使用Ar/O2 /N2 電漿蝕刻Ru那樣可控。例如,Ar/O2 /N2 電漿蝕刻在半導體基板的中心和邊緣之間可提供更均勻的釕蝕刻速率。在一示範實施例中,可以實現約1.1的中心到邊緣蝕刻速率比,這與使用鹵素的Ru電漿蝕刻製程中通常看到的約2或更高的比率相比是有利的。
此外,使用Ar/O2 /N2 電漿的Ru蝕刻製程提供了更高的蝕刻選擇性的益處。透過從電漿放電中消除高反應性鹵素離子和自由基,可以使Ru的蝕刻速率與蝕刻用於形成相鄰層之其他材料之速率的比率實質上增加。例如可實現相對於SiO2 (一種典型的硬遮罩材料)的75:1的比率。對下伏層具高選擇性是也是有利的,特別是對於Ru在應用中被當作覆蓋層或作為儲存元件的電極,例如鐵電隨機存取記憶體(FRAM)電容器、或動態RAM(DRAM)電容器、或磁性RAM(MRAM)的磁性隧道接合面(MTJ)。在此類應用中,高選擇性的Ru蝕刻製程可透過減少不必要的鑿孔和底切來提供較佳的邊緣輪廓。例如,在Ru和TaN、SiO2 和Si3 N4 之間的選擇性可以分別是至少5:1、5:1、和5:1,只要蝕刻製程不是由物理蝕刻機制支配。
在用於蝕刻Ru的電漿放電中存在氮乃提供了平滑的蝕刻表面,包含水平和垂直表面。與使用含鹵素的電漿(例如Ar/Cl2 /O2 電漿)蝕刻的Ru的表面相比,使用Ar/O2 /N2 電漿已經達成Ru的大致較平坦蝕刻表面。表面粗糙度的此種降低是發明人在具有氮的氣體混合物中發現之不尋常且出乎意料的效果。形成窄導體之較平滑表面的一個優點是,可以透過降低電子的表面粗糙度散射來提高其導電率。窄金屬線之較低線邊緣粗糙度的另一個優點是由於隨機線寬變化而導致之隨機電阻變化也降低。此外,在粗糙金屬邊緣的凹凸處會引起高局部電場,且可能因此降低在緊密間隔之Ru互連線和/或接點之間之介電質的時間相依介電崩潰(TDDB)壽命。
釕移除速率的增加也可以透過以離子(例如Ar+ 離子)來轟擊釕表面來實現,如上所述。在一些實施例中,可以透過施加外部負DC偏壓來增加在平坦水平表面上之Ru的蝕刻速率。電漿中的Ar+ 離子在鞘區中之垂直電場的作用下會加速朝向基板。垂直電場的強度可以由供應至基板支架140的RF偏壓功率來調節。相對大(40 amu)的Ar+ 離子可能會損壞Ru的外露頂表面,即使是能量低到無法引發顯著的釕濺射。較輕的惰性氣體(例如He和Ne)可能無法提供所需之因損傷誘導的釕蝕刻速率增加的效果。Ru蝕刻速率的溫度依存性表明,Ru係被化學移除,且物理損壞Ru表面會增強其與氧自由基(O* )的反應性。使用VIIIA族元素的一個優點的是,其為化學惰性,因此不會形成像蝕刻製程之副產物般的額外化合物。另外,由Ar+ 轟擊引起之損傷所誘導的蝕刻速率增加促進了Ru膜的各向異性蝕刻;例如在用於蝕刻Ru的反應性離子蝕刻(RIE)製程中。
在本揭露內容中描述之實施例係提供一種以Ar/O2 /N2 電漿來蝕刻Ru的方法,主要係藉由釕與O*反應以形成揮發性副產物(主要是RuO4 和一些RuO3 )。此方法提供了多個優點,例如更平滑的蝕刻表面和更均勻的蝕刻速率,這在製造用於半導體裝置和互連線中的導電結構時是有利的。
上述列於表I中之Ru-蝕刻製程實施例可以提供多個特徵(在下面表II中列出)。例如,Ru的蝕刻速率可以在約5nm/min至約25nm/min之間調節。蝕刻製程可以以對其他材料的高選擇性移除釕,例如相對於TiN、TaN或SiO2 之蝕刻選擇性在5至200的範圍內。透過使用這些製程所蝕刻之表面的低粗糙度可以改善電參數的不期望變化(例如窄互連元件的電阻)。例如,使用本揭露內容中的實施例,可以實現對於線邊緣粗糙度約0.5nm和對於水平表面粗糙度約0.1nm的低RMS值。另外,可以調節蝕刻製程參數以提供具有良好控制的邊緣輪廓之各向同性以及各向異性蝕刻。例如,Ru邊緣的錐角可以在大約85°和91°之間變化。
因此可能提供電漿輔助Ru-蝕刻製程,其符合用在如上所討論之半導體製造的要求。藉由調整Ar/N2 /O2 電漿Ru蝕刻製程的製程參數(例如表I中列出的參數),精於本技藝者可以設計參數組合以獲得蝕刻Ru的蝕刻配方的結果,以具有高蝕刻速率、高選擇性、良好的中心到邊緣均勻性、低線邊緣粗糙度和水平表面粗糙度以及從各向同性蝕刻到垂直蝕刻的可控制邊緣輪廓來蝕刻Ru以及Ru-金屬合金。 表II
最小值 最大值
釕蝕刻率 5 nm/min 25 nm/min
相對TaN、TiN或SiO2 的蝕刻選擇性 5 200
中心至邊緣蝕刻速率比 0.85 1.15
線邊緣粗糙度 0.5 nm 2 nm
水平表面粗糙度 0.1 nm 1 nm
RIE的錐角 85° 91°
如上所述,Ru的材料性質所提供的優點可以實施於幾種應用中,以提高積體電路的性能。參照圖2至圖7描述了這樣的應用。另外,在蝕刻含Ru的基板之後,先前描述的處理條件也可以用於清潔處理室150。
圖2A-2D係顯示橫剖面圖,說明可以用來形成Ru互連線之製造流程的多個中間步驟。在本例中,Ru線處於在接點層正上方形成的第一互連層級,該接點層例如用於連接FinFET之閘極10的接點35。在此層處的互連線通常較短,而較窄的線更可增加電路密度。如精於本技藝者已知的,當沿著寬度縮放傳統金屬線時,(電阻的)窄寬度效應是一個問題。例如,在狹窄金屬線的電阻可能隨著寬度縮減而增加,如果包含相對電阻金屬(例如Ti、Ta、或其氮化物、或其組合)的襯裡被用來作為粘合層或擴散阻障層,以防止例如Cu的核心金屬擴散到周圍的介電質中。Ru在SiO2 中的低擴散率可以消除對封裝Ru芯之阻障金屬的需要。且在例如Ru的金屬中,其具有相對較低之體電阻率和平均自由路徑的乘積,故因邊緣散射而導致之隨著金屬寬度變窄從而增加電阻的情況不太嚴重。在釕中,該乘積約為Cu的70%。因此,用Ru代替Cu以形成窄的互連線可能是有利的。
現在參照圖2A,具有厚度例如約40 nm至約80 nm的Ru膜40可以使用合適的技術(例如CVD、ALD、磁控濺射等)沉積在兩個垂直相鄰的層間介電(ILD)層,其被稱為ILD1 30和ILD2 32,其包含絕緣體,例如SiO2 或以矽氧化物為基的低k介電質(例如多孔氧化物、氟矽酸鹽玻璃(FSG)、和碳化矽玻璃(OSG))。可選地,ILD2的底層可以是蝕刻停止層(ESL),其包含例如Si3 N4 、SiOx Ny 、SiC或SiCN的介電質(未顯示)。在一些應用中,可以在沉積Ru膜40之前在ILD2 32上方形成包含例如TiN或TaN之可選的導電ESL42。嵌入在ILD2 32中的接點35係顯示連接到FinFET的閘極結構,該FinFET的閘極結構包含金屬閘極10(例如包含Ta、TaN、TiN、W等或其組合的多層金屬堆疊)以及高k閘極介電質14(例如HfO2 或Al2 O3 ),該高k閘極介電質14係鑲嵌在稍早形成之位於一對源極/汲極間隔物12(例如SiOx Ny 間隔物)之間之凹部中。源極/汲極接點蝕刻終止層(CESL)18(例如Si3 N4 層)係顯示內襯於ILD1 30的底表面。在圖2A中,金屬閘極10和閘極介電質14是在淺溝槽隔離(STI)區域20上方延伸之金屬閘極結構的一部分,淺溝槽隔離(STI)區域20係位於在較早形成的半導體鰭片之間的凹部中(例如透過蝕刻半導體基板25(例如塊狀晶體Si晶圓)形成)。半導體鰭片係位於與沿著圖2中之橫剖面的平面平行的平面,因此並不可見。可以在Ru膜上形成圖案化遮罩層44。圖案化遮罩層44可以包含例如SiO2 和Si3 N4 的介電質,或者例如TaN、Ti和TiN的導電材料,或者可以提供相對於Ru的蝕刻選擇性的組合。所使用之圖案化遮罩層44的厚度可以根據相對於Ru的蝕刻選擇性和欲移除之Ru的目標厚度來變化。
根據上述Ru蝕刻製程的實施例,在圖2B中,圖2A中的晶圓已經例如圖1所述的暴露於Ar/N2 /O2 電漿,並自圖案化遮罩層44的開口所暴露之Ru的頂表面的一部分中將Ru移除。可以垂直蝕刻外露的Ru直到其下伏層,例如暴露出導電ESL42,由此形成圖案化Ru膜41。如圖2C所示,可以在隨後的處理步驟中移除導電ESL42和圖案化遮罩層44的暴露部分。剩餘的圖案化Ru膜41和導電性ESL42形成各自互連層級的導電線。
圖2D顯示由金屬間介電質(IMD)層50覆蓋的圖案化Ru膜41,以及使用例如傳統的Cu雙鑲嵌流程在Ru層上方形成的下一個互連層級。類似於ILD2 32,用於形成IMD50的材料可包含絕緣體,例如SiO2 或以矽氧化物為基的低k介電質(例如多孔氧化物、氟矽酸鹽玻璃(FSG)、和碳化矽玻璃(OSG))。圖2D中的銅通孔52係將Cu線56連接到佈置在銅線56正下方之圖案化Ru膜41的一部分。如精於本技藝者已知的,雙鑲嵌流程包含使用通孔優先或溝槽優先的圖案化順序來圖案化IMD50中的開口(例如對於銅通孔52的孔以及銅線56的溝槽)、沉積一保形阻障金屬(例如TiN或TaN)襯裡、以金屬填充開口(例如使用Cu電鍍)以及使用如CMP的平坦化製程自IMD50的頂表面移除所有多餘的導電材料,從而形成嵌在IMD50中的銅通孔52和銅線56。
如上面所討論的,相對於使用鹵素的電漿Ru-蝕刻製程,上述之Ru-蝕刻製程當用於形成圖2中之Ru線的方法時乃提供較低的線邊緣粗糙度、較佳的蝕刻速率均勻性以及較高的蝕刻選擇性的好處。
由於例如金屬線的圖案化特徵的寬度乃縮放到100 nm以下,因此即使寬度的微小變化也會導致其線電阻的明顯變化。具有粗糙邊緣的線可被描述為在表面上微觀凹凸量級的長度上具有寬度上隨機變化的線。線邊緣粗糙度是量化此變化的一種方式,通常是指僅沿特徵的一個邊緣的寬度變化。例如,線的寬度(W)是在整個長度上平均的W的平均值,半寬度W/2的均方根(RMS)值則定義為線邊緣粗糙度。半寬度是邊緣從縱軸到線中心的距離。因此,在各實施例中,使用上述製程形成的線56的線邊緣粗糙度可以是約0.5nm至約2nm。本申請案的發明人已經確定氮為實現如此低的線邊緣粗糙度的關鍵成分。
被蝕刻之水平表面(例如透過Ru回蝕製程形成的Ru接點插塞的水平表面)的粗糙度可以類似地透過表面粗糙度來量化,該表面粗糙度係定義為表面位置在相對於平行於粗糙表面之完美光滑表面的RMS變化。因此,特徵的表面粗糙度可以在0.1 nm至約1 nm之間變化。
使用如圖2所示之直接蝕刻方法形成Ru互連層級的一個額外優點是直接Ru-蝕刻避免了使用昂貴且難以執行的Ru金屬CMP步驟。
參照圖3描述了另一種釕蝕刻製程的應用,其係使用釕電漿回蝕來形成Ru接點和通孔。在傳統的多層互連系統中,鎢和銅係用作填充材料,分別填充接點和通孔的開口。如前所述,使用釕的優點是其體電阻率乘以平均自由路徑的乘積低於銅或鎢。在室溫下,釕的乘積約為銅的70%,且約為鎢的60%。此外,通常用作鎢的粘合層和銅的擴散阻障層的相對電阻性襯裡(例如TiN薄膜)可能不需要用於使用釕形成的接點/通孔中。
圖3A-3C顯示在一製造流程中之多個中間步驟的橫剖面圖,該製造流程係用以形成一Ru接點範例,其用以電連接至延伸在STI區域20上方之FinFET金屬閘極結構的金屬閘極10,類似於上面參考圖2描述的金屬閘極結構。本實施例有利地避免了例如化學機械平坦化的平坦化製程,因為含釕層難以平坦化。
圖3A顯示形成在ILD2 32上方之Ru層90,其填充了延伸穿過ILD232之接點開口且與金屬閘極10之頂表面的一部分物理接觸。在各個實施例中,作為一個例子,接點開口的直徑可為約15 nm至約40 nm,ILD2 32(在Ru沉積之前的接點開口的高度)的厚度可為約20 nm至約80 nm。ILD2 32之平坦頂表面上方之過量金屬的厚度比上與金屬閘極10之頂表面接觸之Ru的厚度可以大約是1:2至大約1:5。
接下來如圖3B所示,使用在各個實施例中描述的Ru蝕刻製程,例如在圖1中描述的,移除ILD2 32之頂表面上方的過量金屬。Ru的蝕刻製程係在回蝕步驟中施加,其可以選擇性地除去多餘的釕以形成包含兩個表面的頂表面。由此形成如圖3B所示之絕緣ILD2層32的第一表面以及嵌在ILD2層32中之導電Ru插塞90的第二表面。導電Ru插塞90較佳會形成有最小凹陷(R),以保持接點結構的完整性和性能,同時使殘留在絕緣ILD2之頂表面上方之釕殘餘物的缺陷密度最小化。在一些實施例中,使用在本揭露內容中描述之Ru蝕刻實施例可以實現大約0.5 nm至10 nm的小凹陷R。Ru蝕刻製程之蝕刻速率均勻性、平滑頂表面、以及高選擇性的蝕刻特性有助於實現這些目標。
圖3C顯示垂直相鄰於接點層上方形成之互連層級(例如銅互連層級)。在圖3C的例子中,鑲嵌在IMD60中之金屬線62係顯示位於金屬閘極10正上方,接點插塞90在這兩者之間形成物理連接及電連接。用於IMD60的介電質可以與用於ILD2 32的介電質相同。在某些其他應用中,上部互連元件可以是通孔,而不是線62。
精於本技藝者應理解,可以修改和組合圖2及圖3中所描述的流程,以形成包含用於接點和垂直相鄰線之積體釕結構的互連元件。例如,圖案化硬遮罩,類似於圖案化遮罩層44(見圖2)可以用於形成積體釕接點和線結構。
包含圖4A-4D的圖4顯示範例性應用,其中釕係用作為遮罩層以從下伏層選擇性地蝕刻其他材料,例如,蝕刻多個介電層以形成用於超通孔的高深寬比的開口。
在圖4A中,於Ru層305上方形成圖案化硬遮罩層310。在Ru層305的下面顯示包含例如SiO2 的覆蓋層302。在此範例中,覆蓋層302係顯示為形成在第一IMD(IMD1)層207和第二IMD(IMD2)層217的兩個IMD層上方,在IMD1層207下方係顯示互連線(例如銅線)200。IMD1層207可以包含主要低k介電層205以及介電ESL及阻障層202,其使用與用於ILD2層32(參考圖2A所描述的)相同的材料。類似地,IMD2層217可以包含主要低k介電層215以及介電ESL及阻障層212。遮罩層310和覆蓋層302的厚度係取決於相應材料的蝕刻選擇性和Ru層305的厚度。
例如在圖1中所描述的,施加Ru-蝕刻製程以形成圖案化Ru層306,如圖4B所示。在此例中,於圖案化Ru層306中之一對相鄰Ru特徵部間之選擇性數量的開口係被塑形為具有適當尺寸的孔以形成超通孔,例如孔312。在隨後的蝕刻製程中使用圍繞孔312的Ru作為蝕刻遮罩,孔312可以延伸穿過由孔312暴露之覆蓋層302的部分以及位於正下方的IMD2層217和IMD1層207的部分,以暴露一部分的Cu線200。Ru層305的厚度係取決於使用釕作為遮罩層所蝕刻的層的材料和厚度。
如圖4C所示,在本例中,例如有機平坦化層或旋塗碳層之圖案化層315係形成在覆蓋層302上方並覆蓋圖案化Ru層306和硬遮罩層310。包含遮罩層320(例如Si防反射塗層(SiARC)或旋塗玻璃)和光阻層330的圖案化遮罩係顯示形成在圖案化層315上方。
在圖4D中,開口335(例如孔)係使用例如以F-化學物質(例如電漿CF4 、SF6 、或NF3 、或類似)進行RIE之合適的各向異性蝕刻而在圖案化層315中形成。每個開口335係延伸通過圖案化層315和圖案化硬遮罩層310,以暴露出在圖案化Ru層306中之一對相鄰Ru特徵部之一部分的頂表面。在開口335中之該對相鄰Ru特徵部之間的空間係與之前在圖4B中所述之處理步驟期間形成的孔312相同。
如圖4D所示,隨著蝕刻製程的進行,於開口335內露出的Ru便形成一個硬遮罩,以形成一個高深寬比的超通孔開口340而垂直延伸通過多個介電層,從而暴露出一部分的Cu線200頂表面。
在一實施例中,超通孔開口340的直徑可以是約15nm至約50nm,在一實施例中,深寬比可以是約10至約50。如精於本技藝者所理解的,超通孔尺寸的隨機變化係導因於用於形成超通孔開口之圖案化硬遮罩中的線邊緣粗糙度。因此,由用於圖案化Ru層305之Ru蝕刻製程所提供之較低線邊緣粗糙度會使高深寬比超通孔開口340的尺寸變化較小。在一些其他應用中,Ru-硬遮罩可以是犧牲膜,並且可以透過隨後的Ru-蝕刻步驟移除。為了維持使用釕作為硬遮罩所形成之結構的完整性,使用具高選擇性的Ru-蝕刻來移除釕硬遮罩將是有利的。在一實施例中,藉由Ru蝕刻所提供之高蝕刻選擇性可因此有利的用於移除犧牲Ru硬遮罩層。
圖5包含圖5A-5D,其顯示多個應用之一範例,其中釕可以用作記憶體陣列中之儲存元件的頂部/蓋電極及/或底部電極,該儲存元件例如鐵電隨機存取記憶體(FRAM)電容器、或動態RAM(DRAM)電容器、或磁性RAM(MRAM)的磁性隧道接合面(MTJ)。鐵電介電質的例子包含摻雜Zr的HfO2 和Pb[Zr× Ti1-x ]O3 (PZT)。鐵電介電質以及其他高k介電質(例如HfO2 和Al2 O3 )可用於DRAM電容器。MTJ堆疊可以包含反鐵磁釘扎層,該反鐵磁釘扎層設置在被釘扎的第一磁性層下方,在第一磁性層上方存在第二自由磁性層,該第二自由磁性層係透過隧道阻障介電層而與被釘扎的第一磁性層分開。MTJ堆疊中使用的材料範例包含例如釘扎層中的PtMn、IrMn或Rh-Mn。多層合成反鐵磁(SyAF)層也可以用作釘扎層。磁性層(例如釘扎層和自由磁性層)可以是包含如鐵、鈷的鐵磁性金屬和例如(CoFe)X B1-x 、FeX B1-x 、或Fex Ni1-x 的鎳合金。隧道阻障介電層的實例包含MgO或Alx Oy 薄層。
在參考圖5A-5D所示之橫剖面圖描述的處理順序範例中,在記憶體儲存元件89中使用的層堆疊顯示包含圖案化記憶體堆疊76,其被夾在頂部/蓋電極(在本例中為Ru頂部/蓋電極)77和底部電極73兩個導電電極之間。儲存元件89可以是電容器或MTJ,而記憶體陣列可以是形成在兩個互連層之間的FRAM、DRAM或MRAM陣列。圖案化記憶體堆疊76可以包含例如電容器介電質或隧道阻障介電質的幾個介電層、以及例如MTJ的磁性層或導電覆蓋層下方之電容器電極的導電層。底部電極通孔68係將儲存元件89之底部電極73連接到記憶體陣列的信號線或電源線,其在此例中可以是下部互連層級的Cu線63。在一些其他應用中,底部電極可連接到某些其它導電元件,例如連接到使用接點的金屬閘極結構。在本例中,頂部-蓋電極77係使用上部互連層級(例如雙鑲嵌Cu互連層級)之Cu通孔82和Cu線86而連接至記憶體陣列。
現在參考圖5A,嵌在下IMD層61(類似於圖3C之 IMD層60和Cu線62)中之Cu線63係連接至嵌入在第一絕緣層65中之底部電極通孔68(例如Cu通孔),第一絕緣層係包含一或多個介電質(例如SiO2 、Si3 N4 等)。底部電極層72、記憶體堆疊層75和Ru頂部-蓋電極層78乃依次形成在第一絕緣層65和底部電極通孔68上方。犧牲圖案化硬遮罩層79(類似於參照圖2描述的圖案化遮罩層44)則顯示形成在Ru頂部-蓋電極層78上方。
在圖5B中,Ru頂部-蓋電極層78已使用圖案化硬遮罩層79而圖案化形成Ru頂部-蓋電極77。吾人想要的是形成Ru頂部-蓋電極77而不會在記憶體堆疊層75中引起不希望的凹陷或底切。應用例如圖1之本申請在各個實施例中描述的Ru蝕刻製程來移除外露的釕是有利的,因為此種製程提供高蝕刻選擇性。另外,其優異的蝕刻均勻性和較低的線邊緣粗糙度可以提高可靠性並降低可變性。此外,在電漿蝕刻期間不使用鹵素化學物質避免損壞記憶體堆疊層75的敏感層。
如圖5C所示,可以在多個步驟之各向異性蝕刻製程期間藉由使用先前形成的Ru頂部-蓋電極77作為圖案化遮罩層來圖案化記憶體堆疊層75和底部電極層72,以完成蝕刻儲存元件89的剩餘層。因此,形成為彼此自對準的頂部電極77、圖案化記憶體堆疊76和圖案化底部電極73。可以沿著儲存元件89的相對垂直側形成保護性側壁(未顯示)。沉積類似於第一絕緣層65的第二絕緣層70,並且顯示為包圍儲存元件89。
如圖5D所示,可以使用任何合適的製程(例如CMP或光阻回蝕)將第二絕緣層70平坦化並使其凹陷以暴露出Ru頂部-蓋電極77之頂表面,使其與第二絕緣層70之頂表面基本上共平面。圖5D還顯示上部互連層級,其包含例如使用雙鑲嵌方法而在垂直鄰接於記憶體儲存元件89處形成之Cu通孔82和Cu線86。在雙鑲嵌方法中,沉積IMD層,並藉由使用通孔優先或通孔最後的圖案化順序對IMD進行圖案化以形成用於通孔的孔以及用於線的溝槽,IMD中的開口係由阻障金屬(例如TiN)加襯,並使用合適的技術(例如電鍍)以沉積的填充金屬(例如銅)填充,然後使用平面化技術(例如CMP)從IMD的表面上方移除多餘的金屬,從而形成嵌入在IMD中的各個互連的導電互連元件。在圖5D中,Cu通孔82在Ru頂部-蓋電極77和上部互連層級之Cu線86之間形成電連接。
圖6顯示Ru-線的一種可能應用,其係用來作為使用塊體半導體基板形成之積體電路中之埋入式配電線(例如VCC 和VSS 線)。在此應用中使用釕的優勢在於,它可以承受例如在大約900°C至大約1100°C之溫度下進行的源極/汲極退火之高溫製程步驟而不會穿過阻障薄層(例如SiO2 阻障薄層)進入周圍的材料、且其在會增加Ru線之電阻形態上並無變化。如相對於圖3A-3C所描述之先前實施例,本實施例有利地避免了化學機械平坦化,同時實現包含釕之埋入式配電線的優異高度均勻性。
如圖6A所示,透過在塊體半導體基板160(例如塊體結晶Si晶圓)中蝕刻溝槽來圖案化半導體鰭片161。接著藉由沉積絕緣體(例如SiO2 )而填充開口,以形成隔離層164。然後使用例如CMP來平坦化隔離層164。然後使用例如RIE而利用圖案化遮罩層(例如光阻層或硬遮罩)在鰭片161之間之一部分區域形成高深寬比的溝槽。該溝槽係穿過隔離層164而更進一步延伸進入下方的半導體基板160。然後沉積保形的絕緣襯墊薄層167,以形成開口165,如圖6A所示。在後續處理期間,使用Ru形成之埋入式電源線係被嵌入在開口165中。選擇用於形成襯墊層167的材料(例如PEALD/ALD的SiO2 ),以提供在可能使用釕蝕刻製程的製程步驟期間的高蝕刻選擇性。製造圖6A所示之結構的製程技術是精於本技藝者已知的。
在圖6B中,使用例如CVD、ALD或磁控濺射來沉積釕層170,以完全填充開口165。在開口165形成之區域中的Ru層170之厚度比上在相對大的平面表面上形成之Ru層170的厚度的比例可以大於1,如圖6B所示。取決於開口165的寬度和深寬比,該比例可以是約5:1至約20:1。
圖6C顯示在完成幾個製程步驟之後的圖6B中之結構的橫剖面圖。在平坦表面上方 的Ru層170可被完全移除以及進一步凹陷釕表面,留下溝槽內的Ru掩埋層180。可使用回蝕製程來達成使Ru層170凹陷以形成Ru掩埋層180,該回蝕製程係類似於參照圖3B使用的Ru-蝕刻製程(例如圖1中描述之電漿蝕刻製程)。如圖6C所示,在Ru蝕刻製程完成之後,沉積絕緣蓋層174(例如Si3 N4 層)並回蝕以在Ru層170上方形成絕緣蓋層174。
現在參考圖6D,已經使用精於本技藝者所知之用於在鰭片之間形成STI區域的標準方法移除了覆蓋半導體鰭片161之上部的絕緣層。例如,首先可以使用CMP步驟從鰭片161上方移除材料,直到隔離層164的頂表面與鰭片161之頂表面基本共平面。然後可以執行凹陷蝕刻以進一步使隔離層164凹陷,以暴露出半導體鰭片161的上部。在本應用中,參照圖6C所描述之隔離凹陷蝕刻以及Ru-回蝕的製程參數可經調整,使得在Ru掩埋層180之頂表面位於隔離氧化物164的頂表面下方,如圖6D所示。在圖6D中,隔離層164、襯墊層167和絕緣蓋層174的頂表面形成為基本上彼此共平面。圖6D中的Ru掩埋層180乃形成Ru掩埋電源線。圖6D還顯示包圍半導體鰭片161之犧牲閘極結構120。犧牲閘極結構120係在形成掩埋電源線結構之後形成並且用來在替代閘極製程流程中形成FinFET的金屬閘極結構。
圖1描述之Ru-蝕刻製程所提供之較佳蝕刻速率均勻性以及較平滑頂表面在降低Ru掩埋電源線(例如在圖6C和6D中之Ru掩埋層180)之線電阻中的可變性係有利的。此外,與困難且昂貴的Ru-CMP製程相比,使用直接蝕刻提供了成本優勢。
使用圖7來描述在用於形成雙填充金屬互連層級之Ru-回蝕步驟中的Ru-蝕刻製程的另一種應用。此應用提供了雙重優勢,即相對於Cu線,窄(線寬小於約20nm)Ru線的電阻較低、且相對於Ru線,寬(線寬大於約20nm)Cu線的電阻較低。類似於圖3A-3C的實施例,本實施例避免了釕層的化學機械平坦化,同時在窄線中實現了優異的高度均勻性。
圖7A顯示用於例如在低k介電質IMD層400和Si3 N4 覆蓋層405中形成之互連線溝槽。溝槽410是用於密集間隔開之狹窄互連線,而寬溝槽412係用於寬的互連線。
在圖7B中,使用選擇為完全填充窄溝槽410的目標厚度來沉積Ru層415,同時部分填充寬溝槽以留下實質開口414,如圖7B右側之部分填充的溝槽所示。目標厚度可以經調整,以使所有小於閾值寬度(例如約20nm的閾值寬度)的溝槽都達到Ru-超填充(superfill)。
圖7C顯示塗覆以可流動材料(例如旋塗玻璃(SOG)或光阻)之犧牲層417的Ru頂表面。沉積之可流動材料的厚度足以完全填充所有寬開口,例如圖7B中的寬開口414。
在圖7D中,首先執行回蝕犧牲層417之可流動材料(參見圖7C)以使犧牲層417凹陷,以形成犧牲絕緣插塞418而填滿開口414。得到的頂表面(未顯示)包含犧牲絕緣插塞418的頂表面而與Ru層415之導電頂表面基本共平面。
回蝕犧牲層417之後接著由Ru回蝕Ru層415,以從覆蓋層405上方除去多餘的釕,以形成凹陷釕層416以及包含導電和絕緣區域的頂表面,如圖7D所示。可使用例如圖1所描述之在各實施例中使用 Ru-蝕刻製程來執行回蝕釕層415。
如圖7D所示,凹陷釕層416同時形成窄Ru插塞(形成在圖7A的窄溝槽410中)和圍繞犧牲絕緣插塞418(形成在圖7A的寬溝槽412中)的導電襯墊。圖7D中所示之結構的頂表面包含與覆蓋層405之絕緣頂表面基本共平面的Ru的導電頂表面、以及突出於凹陷釕層416之頂表面上方的犧牲絕緣插塞418的頂表面。
圖7E顯示一橫剖面圖,說明該結構在執行了適當的蝕刻步驟(例如濕式蝕刻或乾式蝕刻)以移除犧牲絕緣插塞418、並且藉由使用例如電鍍沉積技術形成包含例如Cu之金屬的導電層420而完全填充所得之開口。
在圖7F中,使用例如金屬CMP使導電層420凹陷以形成例如Cu-插塞421的導電插塞。
上述之用於雙金屬互連層級製造流程亦受益於Ru-蝕刻製程所提供之較佳蝕刻均勻性、更高的蝕刻選擇性、和更光滑的表面的好處。
Ru-蝕刻製程可也用於清潔電漿室(類似於電漿處理室150)。例如,在使用例如磁控濺射的Ru沉積完成之後,可以移除基板(例如基板141),並且可以利用隨後的電漿製程來蝕刻Ru,以從腔室壁(例如從頂蓋104之側壁101和介電窗125)移除Ru。
儘管本發明之實施例係描述釕層,但是它們也同樣適用於鋨層。在兩種情況下,釕/鋨層可以包含純金屬層或包含元素金屬的合金。
在此總結本發明的示範實施例。從說明書的整體以及本文提出的申請專利範圍也可以理解到其他的實施例。
範例1。一種電漿處理的方法包含將一電漿處理室維持在10℃至200℃之間;將氧及氮流入該電漿處理室中,其中該氮的流量與該氧的流量之比例係介於約1:5至約1:1之間;以及藉由將一電漿保持在該電漿處理室中來蝕刻一釕/鋨層。
範例2。根據範例1之電漿處理的方法,方法,其中該釕/鋨層包含一釕層。
範例3。根據範例1至2其中之一之電漿處理的方法,其中蝕刻該釕/鋨層的步驟包含:將放置在該電漿處理室中之一基板暴露至該電漿,該基板包含該釕/鋨層,其中該蝕刻步驟形成一特徵部。
範例4。根據範例1至3其中之一之電漿處理的方法,其中該特徵部為一金屬線而置於該基板及一銅金屬線之間,其中該金屬線係比該銅金屬線窄。
範例5。根據範例1至4其中之一之電漿處理的方法,其中該基板包含其上設有該釕/鋨層的一絕緣層,其中當形成該特徵部時,以第一速率蝕刻該釕/鋨層,而以第二速率蝕刻該絕緣層,其中該第一速率比該第二速率快。
範例6。根據範例1至3及5其中之一之電漿處理的方法,其中該特徵部為包含釕/鋨的一通孔,該通孔接觸到下部金屬線,或者其中該特徵部為包含釕/鋨的埋入式電力軌且置於該基板中。
範例7。根據範例1至3其中之一之電漿處理的方法,其中該特徵部為雙端記憶體裝置之電極。
範例8。根據範例1至3其中之一之電漿處理的方法,其中更包含將該特徵部使用作為蝕刻遮罩,形成一開口以用於使一超通孔(supervia)延伸穿過金屬化之複數層級。
範例9。根據範例1至8其中之一之電漿處理的方法,其中更包含使氬與該氧及該氮一起流入,其中該氬流量與該氧流量之比例為介於約0:1至約1:5之間。
範例10。一種電漿處理的方法,該方法包含:將一電漿處理室維持在10℃至200℃之間;將氧及氮流入該電漿處理室中,其中該氮流量與該氧流量之比例係介於約1:5至約1:1之間;以及藉由將一電漿保持在該電漿處理室中來蝕刻一釕/鋨層。
範例11。根據範例10之電漿處理的方法,其中於蝕刻之後,該釕/鋨層的線邊緣粗糙度係在0.5 nm及2 nm之間。
範例12。根據範例10或11其中之一之電漿處理的方法,其中該特徵部為一金屬線而置於該基板及一銅金屬線之間,其中該金屬線係比該銅金屬線窄。
範例13。根據範例10至12其中之一之電漿處理的方法,其中該基板包含其上設有該釕/鋨層的一絕緣層,其中當形成該特徵部時,以第一速率蝕刻該釕/鋨層,並以第二速率蝕刻該絕緣層,其中該第一速率與該第二速率的比例係介於5:1至200:1之間。
範例14。根據範例10至11其中之一之電漿處理的方法,其中更包含:使用該特徵部作為蝕刻遮罩,形成一開口以用於使一超通孔(supervia)延伸穿過金屬化之複數層級。
範例15。根據範例10至14其中之一之電漿處理的方法,其中更包含執行一處理以將鹵素自該電漿處理室實質移除。
範例16。一種裝置的形成方法包含於一基板上方沉積一絕緣層,該絕緣層包含一主要外表面;於該絕緣層中形成一開口;沉積包含釕/鋨之第一導電材料,該第一導電材料係覆蓋該主要外表面且覆蓋該開口之側壁;以及使用電漿蝕刻製程將該第一導電材料自該主要外表面移除並留下覆蓋一部分側壁之剩餘部分,其中使用該電漿蝕刻製程係包含將氧及氮流入一電漿處理室中。
範例17。根據範例16之裝置的形成方法,其中更包含沉積第二導電材料,以接觸在該電漿蝕刻製程之後留下之該第一導電材料之該剩餘部分。
範例18。根據範例16或17其中之一之裝置的形成方法,其中沉積該第一導電材料的該步驟會過度填充該開口,且其中該第二導電材料形成一金屬線而置於該絕緣層上方之一上部金屬層級中。
範例19。根據範例16至17其中之一之裝置的形成方法,其中更包含:於使用該電漿蝕刻製程之前,在沉積該第一導電材料之後沉積一犧牲層,以填充該開口之剩餘部分;以及平坦化該第二導電材料。
範例20。根據範例16至17其中之一之裝置的形成方法,其中更包含:沉積一絕緣層以覆蓋在該電漿蝕刻製程之後留下之該第一導電材料的剩餘部分,其中沉積該第一導電材料的該步驟會過度填充該開口,且其中該開口係穿過該絕緣層而延伸至該基板中。
儘管已經參考說明性實施例描述了本發明,但是該描述並非旨在以限制性的意義來解釋。參考本說明書之後,對於說明性實施例以及本發明的其他實施例的各種修改和組合對於精於本技藝者將是顯而易見的。因此,吾人意欲將所附申請專利範圍涵蓋任何此等的修改或實施例。
10:閘極 12:源極/汲極間隔物 14:閘極介電質 18:源極/汲極接點蝕刻終止層(CESL) 20:STI區域 25:半導體基板 30:層間介電層 ILD1 32:層間介電層 ILD2 35:接點 40:Ru膜 41:圖案化Ru膜 42:導電ESL 44:遮罩層 50:金屬間介電質(IMD)層 52:銅通孔 56:Cu線 60:IMD 61:下IMD層 62:金屬線線 63:Cu線 65:第一絕緣層 68:底部電極通孔 70:第二絕緣層 72:底部電極層 73:底部電極 75:記憶體堆疊層 76:圖案化記憶體堆疊 77:頂部/蓋電極 78:Ru頂部-蓋電極層 79:犧牲圖案化硬遮罩層 82:Cu通孔 86:Cu線 89:記憶體儲存元件 90:Ru層、導電Ru插塞 101:側壁 104:頂蓋 105:氣體輸入系統 106:底座 110:平面線圈 115:氣體出口 120:犧牲閘極結構 125:介電窗 140:基板支架 141:基板 143:光學放射光譜儀(OES)感應器 144:四極質譜儀(QMS) 145:外殼 150:電漿處理室 160:半導體基板 161:鰭片 164:隔離層 165:開口 167:襯墊層 170:Ru層 174:絕緣蓋層 180:Ru掩埋層 200:互連線 202:介電ESL及阻障層 205:低k介電層 207:第一IMD(IMD1)層 212:介電ESL及阻障層 215:低k介電層 217:第二IMD(IMD2)層 302:覆蓋層 305:Ru層 306:圖案化Ru層 310:硬遮罩層 312:孔 315:圖案化層 320:遮罩層 330:光阻層 335:開口 340:超通孔開口 400:IMD層 405:覆蓋層 410:溝槽 412:寬溝槽 414:開口 415:Ru層 416:凹陷釕層 417:犧牲層 418:犧牲絕緣插塞 420:導電層 421:Cu插塞 1000:電漿處理設備
為了更完整地理解本發明及其優點,現在參考以下結合附圖的描述,其中:
圖1顯示根據本發明實施例的電漿處理設備的橫剖面圖;
圖2A-2D顯示橫剖面視圖,說明根據本發明實施例,在製造的各個中間階段中之位於鑲嵌接點層以及雙鑲嵌互連層級之間的直接蝕刻互連線;
圖3A-3C顯示根據本發明實施例在製造的各個中間階段中,將導電閘極連接到金屬線的直接回蝕接點的橫剖面圖;
圖4A-4D顯示橫剖面視圖,說明根據本發明實施例在製造的各個中間階段中穿過金屬間介電層之三個連續層的超通孔開口;
圖5A-5D顯示橫剖面視圖,說明根據本發明實施例在製造的各個中間階段中位於兩個連續雙鑲嵌互連層級之間的半導體記憶體之儲存元件;
圖6A-6D顯示橫剖面視圖,說明根據本發明實施例在製造的各個中間階段中之埋入式電力軌;以及
圖7A-7F顯示橫剖面視圖,說明根據本發明實施例在製造的各個中間階段中之雙填充金屬互連層級。
10:閘極
12:源極/汲極間隔物
14:閘極介電質
18:源極/汲極接點蝕刻終止層(CESL)
20:淺溝槽隔離(STI)區域
25:半導體基板
30:層間介電層ILD1
32:層間介電層ILD2
35:接點
41:圖案化Ru膜
42:導電ESL
44:遮罩層

Claims (20)

  1. 一種電漿處理的方法,該方法包含: 將一電漿處理室維持在10℃至200℃之間; 將氧及氮流入該電漿處理室中,其中該氮的流量與該氧的流量之比例係介於約1:5至約1:1之間;以及 藉由將一電漿保持在該電漿處理室中來蝕刻一釕/鋨層。
  2. 如請求項1之電漿處理的方法,其中該釕/鋨層包含一釕層。
  3. 如請求項1之電漿處理的方法,其中蝕刻該釕/鋨層的該步驟包含: 將放置在該電漿處理室中之一基板暴露至該電漿,該基板包含該釕/鋨層,其中該蝕刻步驟形成一特徵部。
  4. 如請求項3之電漿處理的方法,其中該特徵部為一金屬線而置於該基板及一銅金屬線之間,其中該金屬線係比該銅金屬線窄。
  5. 如請求項3之電漿處理的方法,其中該基板包含其上設有該釕/鋨層的一絕緣層,其中當形成該特徵部時,以一第一速率蝕刻該釕/鋨層,而以一第二速率蝕刻該絕緣層,其中該第一速率比該第二速率快。
  6. 如請求項5之電漿處理的方法,其中該特徵部為包含釕/鋨的一通孔,該通孔係接觸到一下部金屬線,或者其中該特徵部為包含釕/鋨的一埋入式電力軌且置於該基板中。
  7. 如請求項3之電漿處理的方法,其中該特徵部為一雙端記憶體裝置之一電極。
  8. 如請求項3之電漿處理的方法,其中更包含將該特徵部使用作為一蝕刻遮罩,形成一開口以用於使一超通孔延伸穿過金屬化之複數層級。
  9. 如請求項1之電漿處理的方法,其中更包含使氬與該氧及該氮一起流入,其中該氬的流量與該氧的流量之比例為介於約0:1至約1:5之間。
  10. 一種電漿處理的方法,該方法包含: 將氧及氮流入一電漿處理室中; 將一電漿保持在該電漿處理室中; 將放置在該電漿處理室中之一基板暴露至該電漿,該基板包含一釕/鋨層;以及 以一第一速率蝕刻該釕/鋨層而形成一特徵部,其中在該基板之一中心的一點處之該第一速率的值比上在該基板之一邊緣的一點處之該第一速率的值係在0.85到1.15之間變化,且於該蝕刻之後,該釕/鋨層的表面粗糙度係在0.1 nm及1 nm之間變化。
  11. 如請求項10之電漿處理的方法,其中於該蝕刻之後,該釕/鋨層的線邊緣粗糙度係在0.5 nm及2 nm之間。
  12. 如請求項10之電漿處理的方法,其中該特徵部為一金屬線而置於該基板及一銅金屬線之間,其中該金屬線係比該銅金屬線窄。
  13. 如請求項10之電漿處理的方法,其中該基板包含其上設有該釕/鋨層的一絕緣層,其中當形成該特徵部時,以一第一速率蝕刻該釕/鋨層,並以一第二速率蝕刻該絕緣層,其中該第一速率與該第二速率的比例係介於5:1至200:1之間。
  14. 如請求項10之電漿處理的方法,其中更包含: 使用該特徵部作為一蝕刻遮罩,形成一開口以用於使一超通孔延伸穿過金屬化之複數層級。
  15. 如請求項10之電漿處理的方法,其中更包含執行一處理以將鹵素自該電漿處理室實質移除。
  16. 一種裝置的形成方法,該方法包含: 於一基板上方沉積一絕緣層,該絕緣層包含一主要外表面; 於該絕緣層中形成一開口; 沉積包含釕/鋨之一第一導電材料,該第一導電材料係覆蓋該主要外表面且覆蓋該開口之側壁;以及 使用一電漿蝕刻製程,將該第一導電材料自該主要外表面移除並留下覆蓋一部分之該側壁的剩餘部分,其中使用該電漿蝕刻製程係包含將氧及氮流入一電漿處理室中。
  17. 如請求項16之裝置的形成方法,其中更包含: 沉積一第二導電材料,以接觸在該電漿蝕刻製程之後留下之該第一導電材料之該剩餘部分。
  18. 如請求項17之裝置的形成方法,其中沉積該第一導電材料的該步驟係過度填充該開口,且其中該第二導電材料形成一金屬線而置於該絕緣層上方之一上部金屬層級中。
  19. 如請求項17之裝置的形成方法,其中更包含: 於使用該電漿蝕刻製程之前,在沉積該第一導電材料之後沉積一犧牲層,以填充該開口之剩餘部分;以及 平坦化該第二導電材料。
  20. 如請求項17之裝置的形成方法,其中更包含: 沉積一絕緣層以覆蓋在該電漿蝕刻製程之後留下之該第一導電材料的一剩餘部分,其中沉積該第一導電材料的該步驟係過度填充該開口,且其中該開口係穿過該絕緣層而延伸至該基板中。
TW109136202A 2019-10-21 2020-10-20 使用電漿處理的金屬膜蝕刻方法 TW202131406A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/658,620 2019-10-21
US16/658,620 US20210118693A1 (en) 2019-10-21 2019-10-21 Methods for etching metal films using plasma processing

Publications (1)

Publication Number Publication Date
TW202131406A true TW202131406A (zh) 2021-08-16

Family

ID=75492612

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109136202A TW202131406A (zh) 2019-10-21 2020-10-20 使用電漿處理的金屬膜蝕刻方法

Country Status (4)

Country Link
US (1) US20210118693A1 (zh)
KR (1) KR20220087467A (zh)
TW (1) TW202131406A (zh)
WO (1) WO2021080690A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210125862A1 (en) * 2019-10-25 2021-04-29 Qualcomm Incorporated Super via integration in integrated circuits
KR20220007984A (ko) * 2020-07-13 2022-01-20 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11716910B2 (en) * 2020-08-25 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. MRAM structure for balanced loading
CN115985846B (zh) * 2023-02-10 2023-06-06 合肥晶合集成电路股份有限公司 半导体结构的制作方法以及半导体结构

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3676958B2 (ja) * 1999-12-28 2005-07-27 株式会社日立製作所 半導体集積回路装置の製造方法
KR20080001254A (ko) * 2006-06-29 2008-01-03 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
KR20090045529A (ko) * 2007-11-02 2009-05-08 주식회사 하이닉스반도체 루테늄 식각 방법 및 그를 이용한 캐패시터의 제조 방법
KR101547319B1 (ko) * 2014-05-19 2015-08-26 에이피시스템 주식회사 플라즈마 식각 장치
US10950444B2 (en) * 2018-01-30 2021-03-16 Tokyo Electron Limited Metal hard mask layers for processing of microelectronic workpieces

Also Published As

Publication number Publication date
KR20220087467A (ko) 2022-06-24
WO2021080690A1 (en) 2021-04-29
US20210118693A1 (en) 2021-04-22

Similar Documents

Publication Publication Date Title
US10867921B2 (en) Semiconductor structure with tapered conductor
TWI738017B (zh) 磁阻式隨機存取記憶體的製造方法及結構
US7193327B2 (en) Barrier structure for semiconductor devices
TW202131406A (zh) 使用電漿處理的金屬膜蝕刻方法
US10504832B2 (en) Method for manufacturing copper layer
US9293413B2 (en) Semiconductor devices and methods of manufacture thereof
US20100301486A1 (en) High-aspect ratio contact element with superior shape in a semiconductor device for improving liner deposition
CN107564888B (zh) 互连结构及其制造方法
US9985089B2 (en) Vertical MIM capacitor
TW201916424A (zh) 半導體結構及其製造方法
KR20200051823A (ko) 상호접속부를 위한 루테늄 금속 피처 충전
CN112599662A (zh) 半导体结构的形成方法
US20200075299A1 (en) Method for Improving Deposition Process
US7332425B2 (en) Simultaneous deposition and etch process for barrier layer formation in microelectronic device interconnects
TWI743567B (zh) 記憶體裝置及其製造方法
US7485574B2 (en) Methods of forming a metal line in a semiconductor device
US20230051311A1 (en) Conductive Superlattice Structures and Methods of Forming the Same
TWI776108B (zh) 半導體結構及其形成方法
US20230420267A1 (en) Oxygen-free etching of non-volatile metals
TW202314851A (zh) 循環式電漿處理
TW202414590A (zh) 非揮發性金屬的無氧蝕刻
TW202308036A (zh) 形成互連結構的方法