KR101626676B1 - 반도체 구조물 및 그 제조 방법 - Google Patents

반도체 구조물 및 그 제조 방법 Download PDF

Info

Publication number
KR101626676B1
KR101626676B1 KR1020140159874A KR20140159874A KR101626676B1 KR 101626676 B1 KR101626676 B1 KR 101626676B1 KR 1020140159874 A KR1020140159874 A KR 1020140159874A KR 20140159874 A KR20140159874 A KR 20140159874A KR 101626676 B1 KR101626676 B1 KR 101626676B1
Authority
KR
South Korea
Prior art keywords
forming
layer
copper layer
barrier layer
substrate
Prior art date
Application number
KR1020140159874A
Other languages
English (en)
Other versions
KR20150131912A (ko
Inventor
켄-유 창
훙-웬 수
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150131912A publication Critical patent/KR20150131912A/ko
Application granted granted Critical
Publication of KR101626676B1 publication Critical patent/KR101626676B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

반도체 구조물을 형성하는 방법은, 기판을 제공하는 단계; 기판 상에 유전체를 형성하는 단계; 유전체의 상부 표면 아래에 함몰된 개구부를 형성하는 단계; 개구부의 측벽 상에 장벽층을 형성하는 단계; 장벽층 상에 구리 층을 형성하기 위해 PVD(physical vapor deposition)를 수행하는 단계를 포함하고, 개구부의 코너는 상부 표면과 교차하고, 장벽층 상의 구리 층의 두께 및 상부 표면 상의 구리 층의 두께의 비가 실질적으로 1 초과이도록 상부 표면은 사전 결정된 리스퍼터 비(resputter ratio)를 갖는다.

Description

반도체 구조물 및 그 제조 방법{SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME}
본 개시 내용은 반도체 디바이스에 관한 것이다. 상세하게는, 본 개시 내용은 반도체 구조물 및 그 제조 방법에 관한 것이다.
최근의 반도체 패키지들은 전도성 금속 상호연결부들 및 라인들에 의해 서로 전기적으로 결합되어 있는 수많은 능동 디바이스들을 포함할 수 있는 다수의 적층된 물질층들로부터 형성된다. 고속 반도체 디바이스들은 구리의 우수한 전도성 및 도체들 간의 감소된 기생 커패시턴스를 이용하기 위해 로우-k(low-k) 유전체들과 같은 적당한 유전체 물질들 또는 유전체 막들을 갖는 구리 상호연결부들의 조합을 사용하여 형성될 수 있다.
각각의 층에 그리고 다수의 층들 사이에 전도성 상호연결부들의 복잡한 회로망을 생성하기 위해 BEOL(back end-of-line) 제조 공정들이 사용된다. "듀얼 다마신(dual damascene)"이라고 하는 부가적 패터닝(additive patterning) 공정은 칩 전체에 걸쳐 단일의 층 및 다수의 층들에 배치된 다양한 능동 구성요소들(예컨대, 저항기, 트랜지스터 등)을 상호연결시키는 칩 패키지들 내의 패터닝된 구리 도체 회로들을 형성하는 데 사용되는 하나의 BEOL 공정이다. 이 상호연결 회로 구조물들 중 일부는 층 내에 회로들을 형성하기 위해 구리로 채워진 층내 트렌치들 또는 라인들(within-layer trenches or lines) 및 반도체 패키지의 다수의 층들에 있는 디바이스들 간에 회로들을 전기적으로 연결시키는 본질적으로 금속 도금된 또는 채워진 홀들인 층간 비아들(between-layer vias)을 포함한다.
반도체 기술이 10 나노미터(N10) 및 그 이하의 스케일로 나아감에 따라, PVD(physical vapor deposition) 씨드 및 ECP(electrochemical plating) Cu 증착과 같은 금속 증착을 위한 제조 동작이 일반적으로 심각한 위협을 받고 있다. 심각한 위협은 연속적인 측벽 피복(sidewall coverage)을 심각하게 감소시키고 핀치오프(pinch-off)로 인한 내부 보이드들(inside voids)을 발생시킨다. 종래의 금속 리플로우 접근 방법은 제조에 바람직하지 않은 특수 습윤층(wetting layer) 또는 거친 금속 배선(harsh metallization) 동작을 필요로 한다. 종래의 PVD 간극 채움(gap-fill) 접근 방법은 38 나노미터 이하와 같은 작은 치수 특징부를 채울 수 없을 것이다.
어떤 실시예들에서, 반도체 구조물은 기판; 기판 위의 유전체; 및 약 25 nm 미만의 임계 치수(critical dimension)(CD)를 갖고 유전체에 의해 둘러싸여 있는 다마신 상호연결 구조물을 포함하고, 다마신 상호연결 구조물은, 유전체에 인접하고금속 질화물 화학물을 포함하는 장벽층 및 장벽층 위에 배치된 약 1 ppm 미만의 불순물 농도를 갖는 구리 막을 포함한다.
어떤 실시예들에서, 반도체 구조물을 형성하는 방법은 기판을 제공하는 단계; 기판 상에 유전체를 형성하는 단계; 유전체의 상부 표면 아래에 함몰된 개구부를 형성하는 단계; 개구부의 측벽 상에 장벽층을 형성하는 단계; 및 장벽층 상에 구리 층을 형성하기 위해 PVD(physical vapor deposition)를 수행하는 단계를 포함하고, 개구부의 코너는 상부 표면과 교차하고 장벽층 상의 구리 층의 두께 및 상부 표면 상의 구리 층의 두께의 비가 실질적으로 1 초과이도록 상부 표면은 사전 결정된 리스퍼터 비(resputter ratio)를 갖는다.
어떤 실시예들에서, 반도체 구조물을 형성하는 방법은 기판을 제공하는 단계; 기판 상에 유전체를 형성하는 단계; 유전체의 상부 표면 아래에 함몰된 트렌치를 형성하는 단계; 트렌치의 측벽 상에 장벽층을 형성하는 단계; 장벽층 위에 접착층(glue layer)을 형성하는 단계; 접착층 상에 구리 층을 형성하기 위해 RF(Radio Frequency) CCP(capacitively coupled plasma) 스퍼터 시스템을 사용하여 PVD(physical vapor deposition)를 수행하는 단계로서, 트렌치의 코너는 상부 표면과 교차하고 접착층 상의 구리 층의 두께 및 상부 표면 상의 구리 층의 두께의 비가 실질적으로 1 초과이도록 상부 표면은 사전 결정된 리스퍼터 비를 가지며, RF CCP 스퍼터 시스템에서의 활성화(ACT) 전류는 약 5mA 내지 50mA이고, 리스퍼터 비는 실질적으로 2.5 초과인, PVD를 수행하는 단계; 및 사전 결정된 온도 및 시간 그리고 사전 결정된 수소 가스 농도로 구리 층을 리플로우하기 위해 포스트 어닐링하는 단계를 포함한다.
본 개시 내용의 측면들은 첨부 도면들과 함께 읽어볼 때 이하의 상세한 설명으로부터 가장 잘 이해된다. 유의할 점은, 업계에서의 표준 관행에 따라, 다양한 특징들이 축척대로 그려져 있지 않다는 것이다. 사실, 다양한 특징부들의 치수들이 논의의 명확함을 위해 임의적으로 증가 또는 감소될 수 있다.
도 1은 본 개시 내용에 따른, 자기 제어 반응성 스퍼터 시스템(magnetic-controlled reactive sputter system)/RF(Radio Frequency) CCP(capacitively coupled plasma) 스퍼터 시스템을 나타낸 도면.
도 2a 내지 도 2g는 본 개시 내용에 따른, 다마신 구조물들을 갖는 반도체 디바이스를 형성하는 예시적인 방법을 나타낸 도면.
도 3은 본 개시 내용에 따른, 한 예시적인 다마신 구조물을 나타낸 도면.
이하의 개시 내용은 제공된 발명 요지의 상이한 특징들을 구현하는 많은 상이한 실시예들, 또는 예들을 제공한다. 본 개시 내용을 간략화하기 위해 요소들 및 배열들의 구체적인 예들이 이하에서 기술된다. 이들은, 물론, 예들에 불과하고, 제한하기 위한 것이 아니다. 예를 들어, 이하의 설명에서 제2 특징부 상부에 또는 그 위에 제1 특징부를 형성하는 것은 제1 특징부 및 제2 특징부가 직접 접촉하게 형성되는 실시예들을 포함할 수 있고, 또한 제1 특징부와 제2 특징부가 직접 접촉하지 않을 수 있도록 제1 특징부와 제2 특징부 사이에 부가의 특징부들이 형성될 수 있는 실시예들을 포함할 수 있다. 그에 부가하여, 본 개시 내용은 다양한 예들에서 참조 번호들 및/또는 문자들을 반복할 수 있다. 이 반복은 간략함 및 명확함을 위한 것이고, 그 자체가 논의되는 다양한 실시예들 및/또는 구성들 간의 관계를 결정하지는 않는다.
어떤 예시적인 금속 형성 동작들이 이하에 개시되어 있다. 예시적인 금속 형성 동작들 동안, 다마신 구조물의 개구부들이 개구부들의 하부로부터 전도성 구조물로 채워진다. 예시적인 금속 형성 동작들은 38 나노미터(N38) 기술 노드 미만의, 특히 N10 미만의 반도체 디바이스의 전도성 상호연결부를 형성하는 데 적합하다. 전도성 구조물 내부의 보이드들이 회피된다. 반도체 디바이스의 특징부가 엄격히 말해 수축할 때, 상기 금속 형성 동작들은 유익하게도 다른 습윤층을 삽입하는 일 없이 TaN 또는 Ta 층과 같은 종래의 장벽(barrier) 또는 라이너(liner) 상에 구리 리플로우를 행함으로써 우수한 간극 채움 능력을 제공한다.
BEOL 제조 동작들에서, 패터닝 동작들은 "싱글 다마신(single damascene) 동작" 및 "듀얼 다마신(dual damascene) 동작"과 같은 2개의 통상적인 동작들을 포함할 수 있다. 싱글 다마신 동작 및 듀얼 다마신 동작 둘 다에서, 상호연결 구조물을 형성하는 것은 개구부들을 형성하고 개구부들에 금속을 채우는 것을 포함할 수 있다. 바람직하게는, 개구부들에 채워지는 금속 구조물은 보이드가 없는(void-free) 구조물이어야만 한다. "싱글 다마신 동작" 및 "듀얼 다마신 동작"의 실시예들이 이하에 기술된다.
어떤 실시예들에서, 싱글 다마신 구조물에 대한 제조 동작은 다음과 같은 동작들을 포함할 수 있다. 싱글 다마신 동작은 와이어 트렌치들(wire trenches) 또는 비아 개구부들(via openings)이 기판 위의 유전체 층에 형성되고, 개구부들을 채우기 위해 전도성 구조물이 유전체 층 상에 증착되며, 과도한 전도성 구조물을 제거하고 원하는 도체의 표면을 유전체 층의 상부 표면과 동일 평면으로 만들어 싱글 다마신 상호연결 구조물을 형성하기 위해 CMP(chemical mechanical polish) 공정이 수행되는 것을 포함한다.
어떤 실시예들에서, 듀얼 다마신 구조물에 대한 제조 동작은 다음과 같은 동작들을 포함할 수 있다. 듀얼 다마신 동작은 유전체 층에 대해 포토리소그래피 동작 및 에칭 동작을 수행하는 것에 의해 와이어 트렌치들 또는 비아 개구부들을 형성하는 것을 포함한다. 어떤 실시예들에서, 제1 세트의 포토리소그래피 동작 및 에칭 동작을 수행하는 것에 의해 깊은 비아 개구부(deep via opening)가 먼저 형성된다. 깊은 비아 개구부의 형성 후에, 제2 세트의 포토리소그래피 동작 및 에칭 동작을 수행하는 것에 의해 와이어 트렌치가 먼저 형성된다. 다른 실시예에서, 제1 세트의 포토리소그래피 동작 및 에칭 동작을 수행하는 것에 의해 와이어 트렌치가 먼저 형성된다. 와이어 트렌치의 형성 후에, 제2 세트의 포토리소그래피 동작 및 에칭 동작을 수행하는 것에 의해 깊은 비아 개구부가 형성된다. 듀얼 다마신 상호연결 구조물을 형성하기 위해 전도성 구조물이 이어서 와이어 트렌치 및 깊은 비아 내에 증착된다. 과도한 전도성 구조물을 제거하고 원하는 도체의 표면을 유전체 층의 상부 표면과 동일 평면으로 만들어 듀얼 다마신 상호연결 구조물을 형성하기 위해 CMP(chemical mechanical polishing)가 사용된다. 듀얼 다마신 구조물은 이와 같이 싱글 다마신 구조물의 특수한 경우이다.
예시를 위해, 본 개시 내용이 싱글 다마신 구조물에 대해 기술되어 있다. 그렇지만, 듀얼 다마신 집적 동작에 대한 본 개시 내용의 변형들이 생각되고 있다. 어떤 실시예들에서, 본 개시 내용이 싱글 다마신 동작 또는 듀얼 다마신 동작에 대해 적용될 수 있다.
도 1을 참조하면, 자기 제어 반응성 스퍼터 시스템(100)이 제공된다. 어떤 실시예들에서, 스퍼터 시스템(100)은 DC(direct-current) 마그네트론 스퍼터 시스템, 또는 RF(Radio Frequency) CCP(capacitively coupled plasma) 스퍼터 시스템, 또는 ICP(Inductively Coupled Plasma) 시스템일 수 있다. 스퍼터 시스템(100)은 반도체 웨이퍼를 제조하기 위한 공간으로서 구성된 챔버(105)를 가진다. 페디스털(pedestal)(101)은 챔버의 한쪽에 위치해 있고, 타겟(target)(109)은 페디스털(101)의 반대쪽에 배치된다. 어떤 실시예들에서, 타겟(109)은 페디스털(101)과 마주하고 있지만, 사전 결정된 거리만큼 분리되어 있다. 가스 공급원(103)이 챔버(105)의 유입구에 연결되어 있다. 그렇지만, 챔버(105)는, 필요한 경우, 2개 이상의 가스 공급원과 연결될 수 있다. 펌프(108)는 챔버(105)에 고진공 환경을 제공하기 위해 한쪽 측면에서 챔버(105)에 연결되어 있다. 챔버(105)로부터 가스를 배기시켜 제거하기 위해 다운스트림에서 펌프(108)에 추가로 연결되는 배관(foreline)이 있을 수 있다. 웨이퍼(102)는 페디스털(101) 상에 제공된다. 웨이퍼(102)를 바이어스시키기 위한 기판 바이어스(substrate bias)를 페디스털에 제공하여 타겟(109)으로부터 증착 물질 원자들을 끌어당기기 위해 바이어스 전원(104)이 페디스털(101)에 결합되어 있다. 어떤 실시예들에서, 물질 원자들은 이온화되어 이온화된 입자들로 된다. 어떤 실시예들에서, 타겟(109)은 (도 1에 도시되어 있지 않은) 가변 DC/AC 전원에 의해 DC/AC 전력으로 바이어스된다. 가변 DC 전원이 타겟(109)을 바이어스시킬 때, 플라즈마가 점화되고 앞으로 더 유지된다.
Ar과 같은 가스가 가스 공급원(103)으로부터 유입되어 챔버(105) 내로 전달되며, 전계가 가스에 인가되어 이온화 충돌(ionization collision)을 발생시킨다. (Ar+ 와 같은) 이온화된 가스 원자들이 안내되어 타겟(109)에 충돌(bombard)하고 타겟(109)으로부터 원자들을 분리(dislodge)시킨다. 충돌 효율을 증가시키기 위해, 이온화된 가스 원자들의 밀도를 증가시키기 위해 타겟(109) 근방에 자계를 발생시키는 데 마그네트론(110)이 채택된다. 도 1에 도시된 바와 같이, 마그네트론(110)은 챔버(105)의 천장에 근접하여 위치해 있고, 챔버의 외부 표면 상에 배치될 수 있다. 가스 원자들 및 타겟(109)으로부터 떨어져 나온 금속 원자들을 이온화시키기 위해 DC 바이어스 전원(113)이 마그네트론(110)에 결합되어 있다. 어떤 실시예들에서, 타겟(109)은 Cu, Al 등과 같은 금속으로 이루어져 있다. 이온화된 가스 원자들에 의해 타겟(109)으로부터 분리된 금속 원자들은 아래로 떨어져 웨이퍼(102) 쪽으로 이동한다. ICP(Inductively Coupled Plasma) 시스템의 어떤 실시예들에서, 플라즈마 이온화기들(106 및 107)은 금속 원자들이 웨이퍼(102)에 도달하기 전에 떨어지는 금속 원자들을 이온화시키기 위해 타겟(109)과 페디스털(101) 사이에 배치되어 있다. 어떤 실시예들에서, 플라즈마 이온화기들(106 및 107)은 챔버(105)를 둘러싸고 있는 코일들이고 타겟(109)과 페디스털(101) 사이에 위치해 있다. 코일들(106 및 107)은, 각각, 개별적인 전원들에 의해 전력을 공급받을 수 있다. 코일들(106 및 107)에 의해 생성된 플라즈마는 타겟(101)으로부터 떨어지는 스퍼터링된 금속 원자들의 상당 부분(예를 들어, 80% 초과)을 이온화시킨다. 스퍼터링된 금속 원자들은 사전 결정된 주파수 및 사전 결정된 압력 하에서 이온화된다. 어떤 실시예들에서, 스퍼터링된 금속 원자들은 약 13.56 MHz 내지 약 40 MHz의 고주파(high radio frequency) 및 약 1 mTorr 내지 약 150 mTorr의 압력 하에서 이온화된다. 사전 결정된 주파수 및 압력의 설정은 고 이온 밀도 플라즈마(high ion density plasma)를 유발하기 위해 충돌 가능성을 추가로 증가시킨다.
어떤 실시예들에서, RF(Radio Frequency) CCP(capacitively coupled plasma) 스퍼터 시스템에서, 페디스털(101)은 또한 고주파(high RF) 전원(111)에 연결되어 있다. 어떤 실시예들에서, 마그네트론(110)은 고주파 전원(114)에 연결될 수 있다. 고주파 전원(111)은 RF 에너지를 플라즈마에 용량성 결합시키기 위해 13.56MHz, 27MHz, 40MHz, 60MHz, 또는 100MHz와 같은 고주파 전력(high RF power)을 페디스털(101)에 제공한다. 고주파 전원(114)은 RF 에너지를 플라즈마에 용량성 결합시키기 위해 13.56MHz, 27MHz, 40MHz, 60MHz, 또는 100MHz와 같은 고주파 전력을 마그네트론(110)에 제공한다. 어떤 실시예들에서, 이온화된 금속 원자들은 바이어스 전원(104)에 의해 웨이퍼(102)로 지향된다. 이 지향된 금속 원자들의 플럭스(flux)는 금속 화학종들(metal species)이 깊은 비아 또는 개구부들의 하부에 도달할 수 있도록 보장하는 데 필요하다. 이온화된 원자들은 높은 방향 제어를 달성하기 위해 기판 바이어스에 의해 제어될 수 있다. CCP 스퍼터 시스템의 경우, 플라즈마에 이온 에너지를 제공하기 위해 사용될 수 있는 2개의 RF 전원들(114 및 111)이 있다. DC 바이어스 전원(113)이 또한 마그네트론(110)에 병렬로 결합될 수 있다.
어떤 실시예들에서, 타겟(109)에 인접하여 배치된 마그네트론(110)과 함께 코일들에 의해 자계가 발생된다. 어떤 실시예들에서, 타겟(109), 웨이퍼(102), 및 페디스털(101)은 중앙 챔버 축 상에 동축으로 정렬되어 있다. 마그네트론(110)과 함께 코일들에 의해 발생된 자계는 타겟(109) 근방에 널 영역(null region)을 갖고 웨이퍼(102) 및 페디스털(101)의 근방에 있는 구역에서 더 높은 자계 강도(field strength)를 가진다. 마그네트론(110)과 함께 코일들에 의해 발생된 자계가 웨이퍼(102)에 인접하여 플라즈마 벌크 밀도(plasma bulk density)를 증가시키기 위해 플라즈마를 가두는 경향이 있는 것으로 생각된다. 그 결과로서, 웨이퍼(102)의 리스퍼터링(resputtering)을 향상시키기 위해 전구체 가스의 이온화가 증가될 수 있다. 그에 부가하여, 생성된 자계가 웨이퍼(102)로의 이온 플럭스(ion flux)의 분포에 영향을 주는 것으로 생각된다. DC(direct-current) 마그네트론 스퍼터 시스템의 경우, 마그네트론(110)에 연결된 RF 전원이 없다. DC 바이어스 전원(113)은 이온화를 위해 마그네트론(110)에 결합되어 있고, RF 전원(111)은 플라즈마에 이온 에너지를 제공하기 위해 페디스털(101)에 연결되어 있다.
본 개시 내용에서, 도 1에 예시된 스퍼터 시스템(100)은 반도체 디바이스 또는 웨이퍼 상에서 다양한 금속 형성 동작들을 수행하는 데 이용된다. 금속 형성 동작들 중 일부는 극소형 기술 노드 반도체 디바이스들(예를 들어, 서브 38 nm 이하)을 위해 설계되어 있다. 이 기술 노드는 반도체 디바이스들에서 가장 작은 게이트 치수를 말한다. 어떤 실시예들에서, 가장 작은 게이트 치수는 또한 게이트 길이의 임계 치수(critical dimension)(CD)라고도 한다. 어떤 실시예들에서, 다마신 구조물의 임계 치수(CD)는 약 25 nm 미만이다. 극소형 기술 노드 반도체 디바이스들의 경우, 게이트 상부에 전도성 상호연결부를 형성하기 위해 다마신이라고 알려져 있는 금속 배선 특징부가 널리 사용된다. 금속이 채워지는 비아, 개구부, 또는 트렌치와 같은 함몰된 특징부들을 형성하기 위해 유전체의 일부분이 깎아내어진다(carved out). 함몰된 특징부의 기하학적 형태(직경 또는 폭)이 특정의 정도 미만으로(말하자면, 40 nm 미만으로) 축소됨에 따라, 금속 형성 동작들은 핀치 오프 없이 하부로부터 상부로(in bottom-up manner) 함몰된 특징부에 금속을 채울 수 있다.
스퍼터 시스템(100)을 사용하여 다마신 구조물들을 갖는 반도체 디바이스를 형성하는 예시적인 방법은 상이한 제조 스테이지들에서의 순차적 단면도들을 보여주는 도 2a 내지 도 2g에 예시되어 있다.
도 2a를 참조하면, 반도체 디바이스를 형성하는 방법은 기판(200)을 제공하는 단계 및 기판 상에 유전체 층(220)을 형성하는 단계를 포함한다. 기판(200)에서, 간략화를 위해 어떤 특징부들이 생략되어 있다. 예를 들어, 기판(200)은 CMOS 트랜지스터들 또는 저항기, 다이오드와 같은 다른 전기 구성요소들을 포함할 수 있다. 각각의 CMOS 트랜지스터는 몇개의 도핑된 영역들 및 게이트를 가질 수 있다. 어떤 실시예들에서, 게이트는 약 38 nm 또는 약 10 nm 미만의 게이트 길이를 갖는 금속 게이트(또는 대체 게이트)이다. 기판(200)은 또한 실리콘, GaAs, 게르마늄 또는 다른 적당한 반도체 물질들과 같은 몇개의 물질들을 포함한다. CVD(chemical vapor deposition) 또는 스핀 코팅과 같은 다양한 동작들에서 유전체 층(220)이 형성될 수 있다. 유전체(220)는 기판(200)을 덮고 있으며, 기판(200)과 오버레이된 전도성 특징부들 간의 전기적 절연을 제공한다. 유전체 층(220)은 실리콘 산화물(SiO2), 실리콘 질화물(SiN), 유기 규산 유리(organosilicate glass)(OSG), SiCOH, 스핀-온 로우-k(spin-on low-k) 유전체 물질(SiLK 등) 등과 같은 유전체 물질을 포함한다. 유전체 층(220)은 다공성 또는 비다공성 물질일 수 있다.
도 2b를 참조하면, 다마신 동작은 유전체 층(220)에 대해 포토리소그래피 및 에칭 동작들을 수행함으로써 개구부들(210)을 형성하는 것을 포함한다. 유전체 층(220)에 대해 에칭 동작들을 구현한 후에, 개구부(230)가 형성된다. 유전체 층(220)은 다마신 구조물이다. 다양한 실시예들에서, 에칭 가스 화학물, 상이한 에칭 선택도를 갖는 물질들, 에칭 장비를 이용하는 것에 의한 에칭 동작 깊이 제어, 또는 이들의 조합의 선택에 의해 에칭 동작들이 달성될 수 있다. 적당한 에칭 가스들은 HBr, Cl2, CH4, CHF3, CH2F2, CF4, Ar, H2, N2, 및 기타(이들로 제한되지 않음)를 포함할 수 있다.
도 2c를 참조하면, 다마신 동작은 유전체 층(220) 상에 장벽층(240)을 형성하는 것을 추가로 포함한다. 어떤 실시예들에서, 장벽층(240)은 개구부(230)의 측벽 상에만 형성될 수 있고, 장벽층(240)이 유전체 층(220)의 상부 표면(221) 상에는 형성되지 않는다. 어떤 실시예들에서, 장벽층(240)은 TiN, TaN, WN, MoN, OsN 또는 MnN 등을 포함할 수 있는 금속 질화물 화합물일 수 있다. 장벽층(240)은 트렌치/비아와 같은 개구부로부터의 및/또는 그 내로의 오염물들의 확산을 방지하기 위해 형성되고, 전도성 구조물(280)과 유전체 층(220) 간의 접착력을 증진시킬 수 있다. 장벽층(240)은 CVD(chemical vapor deposition), PVD(physical vapor deposition), PECVD(plasma enhanced chemical vapor deposition), LPCVD(low pressure chemical vapor deposition), HDP-CVD(high density plasma chemical vapor deposition) 또는 ALD(atomic layer deposition) 등에 의해 형성될 수 있다. 장벽층(240)의 두께는 전형적으로 약 20 옹스트롬(A) 내지 약 500A의 범위에 있고, 더 큰 두께들이 또한 본 명세서에서 생각되고 있다.
도 2d를 참조하면, 장벽층(240)을 형성하는 동작 후에, 이 동작은 장벽층(240) 상에 접착층(glue layer)(260)을 형성하는 것을 추가로 포함한다. 어떤 실시예들에서, 접착층(260)은 Ti, Ta, W, Mo, Co, TiW, Os, 또는 Mn 등을 포함한다. 장벽층(240)과 유사한 접착층(260)은 트렌치/비아와 같은 개구부로부터의 및/또는 그 내로의 오염물들의 확산을 방지하기 위해 형성되거나, 전도성 구조물(280)과 장벽층(240) 간의 접착력을 증진시킨다. 접착층(260)은 장벽층(240)과 동일한 방식으로 형성될 수 있다. 접착층(260)의 두께는 전형적으로 약 20A 내지 약 500A의 범위에 있지만, 더 작은 및 더 큰 두께들이 또한 본 명세서에서 생각되고 있다.
어떤 실시예들에서, 접착층(260)은 장벽층(240)을 형성하는 일 없이 유전체 층(220) 상에 형성될 수 있다. 환언하면, 장벽층(240)의 형성은 선택적이다. 어떤 실시예들에서, 접착층(260)의 형성은 선택적이고, 장벽층(240)만이 유전체 층(220) 상에 형성되며 접착층(260)의 형성이 생략될 수 있다. 어떤 실시예들에서, 접착층(260)이 전체 피복 대신에 장벽층(240)의 일부분 상에, 예를 들어, 개구부(230)의 측벽을 따라 뻗어 있는 장벽층 상에만 형성될 수 있다.
도 2e를 참조하면, 전도성 구조물(280)이 스퍼터링 증착에 의해 접착층(260) 상에 형성된다. 전도성 구조물(280)은 Cu 구조물 또는 귀금속 구조물일 수 있다. 예를 들어, 스퍼터링 증착 동안, Cu 타겟이 스퍼터링 증착을 위해 제공될 수 있고, 이와 같이 전도성 구조물(280)이 Cu로 이루어져 있다. 어떤 실시예들에서, Cu 타겟으로부터 제공된 Cu 원자들은 도 1의 스퍼터 시스템(100)과 같은 시스템에서 이온화된다. 이온화된 Cu 원자들을 지향시키기 위해 바이어스 공급원(104)으로부터 기판(200)에 기판 바이어스가 제공된다. 바이어스 공급원/바이어스 전원(104)에 의해 제공되는 AC(교류) 또는 DC 바이어스(웨이퍼 바이어스)를 포함하는 기판 바이어스는 약 500w 내지 약 1500w이다. DC 바이어스 전원(113)에 의해 제공되는 DC 바이어스는 약 500w 내지 약 1500w이다. 어떤 실시예들에서, 바이어스 공급원(104)에 의해 제공되는 기판 바이어스 및 DC 바이어스 전원(113)에 의해 제공되는 DC 바이어스는 약 600 W 초과이다. 전도성 구조물(280)이 장벽층(240) 또는 접착층(260) 상에 형성될 수 있도록, 이온화된 Cu 원자들의 증착 방향이 기판 바이어스에 의해 제어된다. 어떤 실시예들에서, RF 에너지를 플라즈마에 용량성 결합시키기 위해, 13.56 MHz, 27MHz, 40MHz, 60MHz, 또는 100MHz와 같은 다양한 주파수들을 갖는 고주파 전력을 페디스털(101)에 제공하는 고주파수 전원(111)에 약 5mA 내지 약 50mA의 ACT 전류가 공급된다. 어떤 실시예들에서, RF 에너지를 플라즈마에 용량성 결합시키기 위해, 13.56 MHz, 27MHz, 40MHz, 60MHz, 또는 100MHz와 같은 다양한 주파수들을 갖는 고주파 전력을 마그네트론(110)에 제공하는 고주파수 전원(114)에 약 5mA 내지 약 50mA의 ACT 전류가 공급된다. 어떤 실시예들에서, 이온화된 원자들의 높은 이온 밀도가 달성된다. Cu 원자들의 이온화된 비는 약 80% 초과일 수 있다. 어떤 실시예들에서, Cu 원자들의 이온화된 비는 약 99% 초과일 수 있다. 어떤 실시예들에서, 전도성 구조물(280)의 횡단 길이(traversal length)는 약 38 nm 미만이다.
전도성 구조물(280)은 프로파일, 즉 상부 표면(221)("필드") 상의 전도성 구조물(280)의 두께에 대한 장벽층(240)/접착층(260)의 측벽 부분 상에서의 전도성 구조물(280)의 두께(또는 전도성 구조물(280)의 측벽 두께(290)라고 함)를 정의하는 비를 가지도록 구성되어 있다. 어떤 실시예들에서, 이 비는 실질적으로 약 1 초과이다. 즉, 전도성 구조물(280)의 측벽 두께(290)는 실질적으로 상부 표면(221) 상의 전도성 구조물(280)의 두께(291) 이상이다. 어떤 실시예들에서, 상부 표면(221) 상의 두께(291)는 2개의 인접한 개구부들 간의 중간점에서 측정된다. 전도성 구조물(280)의 측벽 두께(290)는 균일할 수 있고, 접착층(260)의 측벽 부분의 중간 높이 주변의 위치에서 그리고 접착층(260)과 전도성 구조물(280) 사이의 계면으로부터 측정되는 것으로 정의된다. 전도성 구조물(280)과 전도성 구조물(280) 사이에 개재된 접착층을 갖지 않는 실시예들의 경우, 측벽 두께(290)는 장벽층(240)의 측벽의 중간 부분의 표면으로부터 계산된다. 어떤 실시예들에서, 측벽 두께(290)가 균일하지 않을 수 있고, 측벽 두께(290)의 하부 부분은 개구부(230)의 히부 근방에서 더 클 수 있고, 더 얇은 부분은 상부 표면(221) 주변에 있다. 어떤 실시예들에서, 측벽 두께(290)의 하부 부분은 개구부(230)의 히부 주위에서 더 얇을 수 있고, 더 두꺼운 부분은 상부 표면(221) 주위에 있다.
어떤 실시예들에서, 증착 동안 이온화된 Cu 원자들을 지향시키기 위해 바이어스 전원(104)으로부터 기판(100)에 기판 바이어스가 제공된다. 어떤 실시예들에서, 바이어스 전원(104)에 의해 제공되는 기판 바이어스는 약 500w 내지 약 1500w이다. DC 바이어스 전원(113)에 의해 제공되는 바이어스는 약 500w 내지 약 1500w이다. 전도성 구조물(280)이 접착층(260)의 표면 상에 형성될 수 있도록, 이온화된 Cu 원자들의 이동 방향(travelling direction)이 기판 바이어스에 의해 제어된다. 어떤 실시예들에서, 전도성 구조물(280)은 장벽층(240)의 표면 상에 형성될 수 있다. 어떤 실시예들에서, 50 옹스트롬(A) 미만의 두께를 갖는 전도성 구조물(280)은 게이트 CD가 N38 미만으로, 특히 N10 미만으로 축소될 때 보이드들 없이 유전체 층(220)의 상부 표면(221) 상에 형성된다.
증착 동안, 어떤 실시예들에 대해 리스퍼터 비(resputter ratio)라고 하는 파라미터가 조정 노브(tuning knob)이다. 리스퍼터 비는 웨이퍼에 인가되는 기판 바이어스의 감사 척도(gratitude measurement)이다. 예를 들어, Cu 막의 리스퍼터 비를 측정할 때, 바이어스가 기판에 인가되는 일 없이 증착되는 Cu 막의 제1 두께는 T1으로서 측정된다. 사전 결정된 바이어스가 기판에 인가되는 상태에서 증착되는 Cu 막의 제2 두께는 T2로서 측정된다. 사전 결정된 바이어스 하에서의 Cu 증착의 리스퍼터 비는 T1/T2로서 정의된다.
어떤 실시예들에서, Cu 증착의 리스퍼터 비는 실질적으로 2.5 초과이다. 리스퍼터 비는 함수 A/B로서 나타내어질 수 있고, 여기서 A는 사전 결정된 초 동안 어떤 기판 바이어스도 기판(100)에 인가하는 일 없이 전도성 구조물(280)의 측벽 두께를 형성하는 것을 말하고, B는 사전 결정된 초 동안 사전 결정된 기판 바이어스를 기판(100)에 인가하여 전도성 구조물(280)의 측벽 두께를 형성하는 것을 말한다. 어떤 실시예들에서, DC 바이어스 전원(113)에 의해 제공되는 DC 바이어스 및 기판 바이어스는 약 500w 내지 약 1500w이다.
어떤 실시예들에서, 스퍼터링 증착을 사용하여 접착층(260) 상에 전도성 구조물(280)을 형성하는 동작 후에, 사전 결정된 온도 및 시간으로 구리 리플로우를 행하기 위해 구리 층을 포스트 어닐링(post anneal)하는 동작이 수행된다. 어떤 실시예들에서, 구리 층을 포스트 어닐링하기 위한 온도의 범위는 약 150 내지 200℃이다. 어떤 실시예들에서, 구리 층을 포스트 어닐링하기 위한 온도의 범위는 약 200℃ 내지 250℃, 약 250℃ 내지 300℃, 약 300 내지 350℃, 약 350 내지 400℃, 약 400 내지 450℃, 및 약 450 내지 500℃이다.
어떤 실시예들에서, 구리 층을 포스트 어닐링하기 위한 수소 가스의 농도의 범위는 약 0% 내지 20%이다. 어떤 실시예들에서, 수소 가스의 농도의 범위는 약 20% 내지 40%, 약 40% 내지 60%, 약 60% 내지 80%, 또는 약 80% 내지 100%이다.
어떤 실시예들에서, 구리 층을 포스트 어닐링하기 위한 시간의 범위는 약 3 초 내지 120 초이다. 어떤 실시예들에서, 시간의 범위는 약 120 초 내지 240 초, 약 240 초 내지 360 초, 약 360 초 내지 480 초, 또는 약 480 초 내지 600 초이다.
도 2f를 참조하면, 전도성 구조물(280)에 대해 채움(fill-in) 동작이 수행되고, 개구부들(230)을 채우기 위해 전도성 구조물(280) 상에 금속 물질이 추가로 형성된다. 도 2e에서 채택된 동일한 Cu 증착에 의해 또는 ECP 공정에 의해 채움 동작이 수행될 수 있다. 추가로 형성되는 금속 물질은 Cu 또는 Cu 합금을 포함할 수 있다.
도 2g를 참조하면, 전도성 구조물(280)의 어떤 부분들 그리고 장벽층(240), 접착층(260) 및 유전체 층(220)의 어떤 부분들이 CMP 동작을 사용하여 제거된다. 제거 동작의 구현 후에, 보이드가 없는 구조물일 수 있는 상호연결부들(280)이 다마신 구조물에 완성된다. 어떤 실시예들에서, 다중 CMP 동작들이 결합될 수 있다. 예를 들어, 전도성 구조물(280)의 과도한 부분들이 제1 스테이지 CMP 동작에 의해 먼저 제거되고, 이어서 장벽층(240), 접착층(260) 및 유전체 층(220)의 어떤 부분들이 제2 스테이지 CMP 동작에 의해 제거된다. 제거 동작들 후에 상호연결부들(280)이 완성된다. 어떤 실시예들에서, 전도성 구조물(280)의 불순물 농도는 약 1 ppm 미만이다. 일반적으로, ECP 증착을 위한 Cu의 불순물 농도는 약 20 ppm 내지 약 400 ppm이다. 그렇지만, 본 개시 내용에서, 전도성 구조물(280)의 불순물 농도는 0에 가깝다(예컨대, 불순물 농도가 1 ppm 이하이다).
도 3을 참조하면, 도 3의 구조물은 도 2e의 구조물에 대응한다. 도 3의 다마신 구조물은 전도성 구조물(280)을 증착하는 동작이 약 800W의 기판 바이어스로 수행되고 관련 리스퍼터 비가 3.1이라는 것을 보여준다. 도 3에 도시된 바와 같이, 전도성 구조물(280)의 측벽 두께는 약 60A이고, Cu의 필드의 두께는 약 40A이다.
어떤 실시예들에서, 반도체 구조물은 기판; 기판 위의 유전체; 및 약 25 nm 미만의 임계 치수(critical dimension)(CD)를 갖고 유전체에 의해 둘러싸여 있는 다마신 상호연결 구조물을 포함하고, 다마신 상호연결 구조물은, 유전체에 인접하고금속 질화물 화학물을 포함하는 장벽층 및 장벽층 위에 배치된 약 1 ppm 미만의 불순물 농도를 갖는 구리 막을 포함한다.
어떤 실시예들에서, 반도체 구조물을 형성하는 방법은 기판을 제공하는 단계; 기판 상에 유전체를 형성하는 단계; 유전체의 상부 표면 아래에 함몰된 개구부를 형성하는 단계; 개구부의 측벽 상에 장벽층을 형성하는 단계; 및 장벽층 상에 구리 층을 형성하기 위해 PVD(physical vapor deposition)를 수행하는 단계를 포함하고, 개구부의 코너는 상부 표면과 교차하고 장벽층 상의 구리 층의 두께 및 상부 표면 상의 구리 층의 두께의 비가 실질적으로 1 초과이도록 상부 표면은 사전 결정된 리스퍼터 비(resputter ratio)를 갖는다.
어떤 실시예들에서, 반도체 구조물을 형성하는 방법은 기판을 제공하는 단계; 기판 상에 유전체를 형성하는 단계; 유전체의 상부 표면 아래에 함몰된 트렌치를 형성하는 단계; 트렌치의 측벽 상에 장벽층을 형성하는 단계; 장벽층 위에 접착층(glue layer)을 형성하는 단계; 접착층 상에 구리 층을 형성하기 위해 RF(Radio Frequency) CCP(capacitively coupled plasma) 스퍼터 시스템을 사용하여 PVD(physical vapor deposition)를 수행하는 단계로서, 트렌치의 코너는 상부 표면과 교차하고 접착층 상의 구리 층의 두께 및 상부 표면 상의 구리 층의 두께의 비가 실질적으로 1 초과이도록 상부 표면은 사전 결정된 리스퍼터 비를 가지며, RF CCP 스퍼터 시스템에서의 활성화(ACT) 전류는 약 5mA 내지 50mA이고, 리스퍼터 비는 실질적으로 2.5 초과인, PVD를 수행하는 단계; 및 사전 결정된 온도 및 시간 그리고 사전 결정된 수소 가스 농도로 구리 층을 리플로우하기 위해 포스트 어닐링하는 단계를 포함한다.
이상에서는 당업자가 본 개시 내용의 측면들을 더 잘 이해할 수 있도록 몇개의 실시예들의 특징들을 간략하게 기술하고 있다. 당업자라면 본 명세서에 소개된 실시예들의 동일한 목적들을 수행하고 그리고/또는 동일한 장점들을 달성하기 위해 다른 프로세스들 및 구조들을 설계하거나 수정하기 위한 기초로서 본 개시 내용을 사용할 수 있다는 것을 잘 알 것이다. 당업자라면 또한 이러한 등가의 구성들이 본 개시 내용의 사상 및 범위를 벗어나지 않는다는 것과 본 개시 내용의 사상 및 범위를 벗어남이 없이 본 명세서에서의 다양한 변경들, 치환들, 및 변경들을 할 수 있다는 것을 잘 알 것이다.

Claims (10)

  1. 반도체 구조물을 형성하는 방법에 있어서,
    기판을 제공하는 단계;
    상기 기판 위에 유전체를 형성하는 단계;
    상기 유전체의 상부 표면 아래에 함몰된 개구부를 형성하는 단계;
    상기 개구부의 측벽 상에 장벽층을 형성하는 단계; 및
    상기 장벽층 위에 구리 층을 형성하기 위해 물리적 기상 증착(PVD; physical vapor deposition)을 수행하는 단계를 포함하고,
    상기 개구부의 코너(corner)는 상기 상부 표면과 교차하고, 상기 장벽층 상의 상기 구리 층의 두께 및 상기 상부 표면 위의 상기 구리 층의 두께의 비가 1 초과이도록 상기 상부 표면은 사전 결정된 리스퍼터 비(resputter ratio)를 갖는 것인, 반도체 구조물 형성 방법.
  2. 제1항에 있어서, 물리적 기상 증착(PVD)을 수행하는 것은 DC(direct-current) 마그네트론 스퍼터 시스템을 사용하는 것인, 반도체 구조물 형성 방법.
  3. 제1항에 있어서, 상기 리스퍼터 비는 2.5 초과인 것인, 반도체 구조물 형성 방법.
  4. 제1항에 있어서, 상기 장벽층은 Ti, TaN, WN, MoN, OSN 또는 MnN을 포함하는 금속 질화물 화합물인 것인, 반도체 구조물 형성 방법.
  5. 제1항에 있어서, 사전 결정된 온도 및 시간 그리고 사전 결정된 수소 가스 농도로 리플로우(reflow)하기 위해 상기 구리 층을 포스트 어닐링(post annealing)하는 단계를 더 포함하는 것인, 반도체 구조물 형성 방법.
  6. 제5항에 있어서,
    상기 구리 층을 포스트 어닐링하기 위한 상기 사전 결정된 온도의 범위는 150℃ 내지 500℃이고,
    상기 사전 결정된 수소 가스 농도의 범위는 0% 내지 100%이며,
    상기 구리 층을 포스트 어닐링하기 위한 상기 시간의 범위는 3초 내지 600초인 것인, 반도체 구조물 형성 방법.
  7. 제1항에 있어서, 상기 구리 층의 불순물 농도는 1 ppm 미만인 것인, 반도체 구조물 형성 방법.
  8. 제1항에 있어서, 상기 반도체 구조물의 임계 치수는 25 nm 미만인 것인, 반도체 구조물 형성 방법.
  9. 반도체 구조물을 형성하는 방법에 있어서,
    기판을 제공하는 단계;
    상기 기판 위에 유전체를 형성하는 단계;
    상기 유전체의 상부 표면 아래에 함몰된 트렌치를 형성하는 단계;
    상기 트렌치의 측벽 상에 장벽층을 형성하는 단계;
    상기 장벽층 상에 접착층(glue layer)을 형성하는 단계;
    상기 접착층 위에 구리 층을 형성하기 위해 RF(Radio Frequency) CCP(capacitively coupled plasma) 스퍼터 시스템을 사용하여 물리적 기상 증착(PVD; physical vapor deposition)을 수행하는 단계로서, 상기 트렌치의 코너(corner)는 상기 상부 표면과 교차하고 상기 접착층 상의 상기 구리 층의 두께 및 상기 상부 표면 상의 상기 구리 층의 두께의 비가 1 초과이도록 상기 상부 표면은 사전 결정된 리스퍼터 비(resputter ratio)를 가지며, 상기 RF CCP 스퍼터 시스템에서의 활성화(ACT) 전류는 5mA 내지 50mA이고, 상기 리스퍼터 비는 2.5 초과인, 상기 PVD를 수행하는 단계; 및
    사전 결정된 온도 및 시간 그리고 사전 결정된 수소 가스 농도로 리플로우(reflow)하기 위해 상기 구리 층을 포스트 어닐링(post annealing)하는 단계를 포함하는, 반도체 구조물 형성 방법.
  10. 제9항에 있어서, 상기 구리 층에 대해 화학 기계적 연마 공정을 수행하는 단계를 추가로 포함하는, 반도체 구조물 형성 방법.
KR1020140159874A 2014-05-16 2014-11-17 반도체 구조물 및 그 제조 방법 KR101626676B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/279,360 2014-05-16
US14/279,360 US9240378B2 (en) 2014-05-16 2014-05-16 Method of forming a copper layer using physical vapor deposition

Publications (2)

Publication Number Publication Date
KR20150131912A KR20150131912A (ko) 2015-11-25
KR101626676B1 true KR101626676B1 (ko) 2016-06-01

Family

ID=54539144

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140159874A KR101626676B1 (ko) 2014-05-16 2014-11-17 반도체 구조물 및 그 제조 방법

Country Status (2)

Country Link
US (2) US9240378B2 (ko)
KR (1) KR101626676B1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9240378B2 (en) * 2014-05-16 2016-01-19 Taiwan Semiconductor Manufacturing Company Ltd. Method of forming a copper layer using physical vapor deposition
US9870993B1 (en) 2016-07-22 2018-01-16 International Business Machines Corporation Simultaneous formation of liner and metal conductor
US9721788B1 (en) * 2016-07-22 2017-08-01 International Business Machines Corporation Simultaneous formation of liner and metal conductor
US9728399B1 (en) * 2016-07-22 2017-08-08 International Business Machines Corporation Simultaneous formation of liner and metal conductor
US9646931B1 (en) * 2016-07-22 2017-05-09 International Business Machines Corporation Formation of liner and metal conductor
US10763419B2 (en) * 2017-06-02 2020-09-01 Northrop Grumman Systems Corporation Deposition methodology for superconductor interconnects
US10381307B1 (en) * 2018-05-14 2019-08-13 Nanya Technology Corporation Method of forming barrier layer over via, and via structure formed thereof
US10546815B2 (en) * 2018-05-31 2020-01-28 International Business Machines Corporation Low resistance interconnect structure with partial seed enhancement liner
CN109545741B (zh) * 2018-12-05 2020-11-24 上海华力集成电路制造有限公司 钨填充凹槽结构的方法
TWI704659B (zh) * 2019-10-22 2020-09-11 樂鑫材料科技股份有限公司 背晶薄膜結構、包含其之功率模組封裝體、背晶薄膜結構的製造方法、及功率模組封裝體的製造方法
US11725270B2 (en) 2020-01-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. PVD target design and semiconductor devices formed using the same
US11810817B2 (en) 2020-10-14 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. In-situ CMP self-assembled monolayer for enhancing metal-dielectric adhesion and preventing metal diffusion

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070238279A1 (en) * 2006-04-07 2007-10-11 Tokyo Electron Limited BARRIER DEPOSITION USING IONIZED PHYSICAL VAPOR DEPOSITION (iPVD)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4599135A (en) * 1983-09-30 1986-07-08 Hitachi, Ltd. Thin film deposition
JPH069199B2 (ja) * 1984-07-18 1994-02-02 株式会社日立製作所 配線構造体およびその製造方法
JPH10154709A (ja) 1996-09-25 1998-06-09 Toshiba Corp 半導体装置の製造方法
JP3463979B2 (ja) * 1997-07-08 2003-11-05 富士通株式会社 半導体装置の製造方法
US7294574B2 (en) * 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
US7704886B2 (en) * 2008-02-14 2010-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step Cu seed layer formation for improving sidewall coverage
US20140117550A1 (en) * 2012-10-29 2014-05-01 International Business Machines Corporation Semiconductor device including an insulating layer, and method of forming the semiconductor device
JP6350063B2 (ja) * 2013-10-09 2018-07-04 日立化成株式会社 多層配線基板
US9240378B2 (en) * 2014-05-16 2016-01-19 Taiwan Semiconductor Manufacturing Company Ltd. Method of forming a copper layer using physical vapor deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070238279A1 (en) * 2006-04-07 2007-10-11 Tokyo Electron Limited BARRIER DEPOSITION USING IONIZED PHYSICAL VAPOR DEPOSITION (iPVD)

Also Published As

Publication number Publication date
US9240378B2 (en) 2016-01-19
US10504832B2 (en) 2019-12-10
KR20150131912A (ko) 2015-11-25
US20160126185A1 (en) 2016-05-05
US20150333012A1 (en) 2015-11-19

Similar Documents

Publication Publication Date Title
KR101626676B1 (ko) 반도체 구조물 및 그 제조 방법
TWI630654B (zh) 使用遠端電漿源以凹陷超低k介電質
US9293413B2 (en) Semiconductor devices and methods of manufacture thereof
TWI647791B (zh) 半導體結構的形成方法
KR102601862B1 (ko) 상호접속부를 위한 루테늄 금속 피처 충전
US20200343087A1 (en) Pre-Clean for Contacts
US9754799B2 (en) Fabrication method of interconnect structure
JP6793711B2 (ja) 選択的にエッチングされた自己整合ビアプロセス
US20100301486A1 (en) High-aspect ratio contact element with superior shape in a semiconductor device for improving liner deposition
US12057322B2 (en) Methods for etching metal films using plasma processing
US20150091172A1 (en) Pore sealing techniques for porous low-k dielectric interconnect
US10163698B2 (en) Interconnect structure and manufacturing method thereof
KR20200052822A (ko) 비아를 포함하는 집적 회로 장치 및 그 제조 방법
US11139174B2 (en) Method for forming features of semiconductor structure having reduced end-to-end spacing
KR102152706B1 (ko) 막 증착 시스템 및 이를 이용한 도전 패턴 형성 방법
US11114338B2 (en) Fully aligned via in ground rule region
US20150255375A1 (en) Stacked interconnect structure and method of making the same
TW201830484A (zh) 半導體裝置結構的形成方法
KR20150095593A (ko) Ge-함유 반도체 장치에의 컨택 형성
US20230051311A1 (en) Conductive Superlattice Structures and Methods of Forming the Same
US11721578B2 (en) Split ash processes for via formation to suppress damage to low-K layers
KR20040097615A (ko) 반도체 소자의 금속배선 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190517

Year of fee payment: 4