KR20080001254A - 반도체 소자의 금속 배선 형성 방법 - Google Patents

반도체 소자의 금속 배선 형성 방법 Download PDF

Info

Publication number
KR20080001254A
KR20080001254A KR1020060059479A KR20060059479A KR20080001254A KR 20080001254 A KR20080001254 A KR 20080001254A KR 1020060059479 A KR1020060059479 A KR 1020060059479A KR 20060059479 A KR20060059479 A KR 20060059479A KR 20080001254 A KR20080001254 A KR 20080001254A
Authority
KR
South Korea
Prior art keywords
film
forming
wetting
interlayer insulating
layer
Prior art date
Application number
KR1020060059479A
Other languages
English (en)
Inventor
이현배
김성태
정성희
이상우
이종명
홍종원
최경인
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060059479A priority Critical patent/KR20080001254A/ko
Publication of KR20080001254A publication Critical patent/KR20080001254A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체 소자의 금속 배선 형성 방법을 제공한다. 이 방법에 따르면, 반도체 기판 상에 리세스부를 갖는 층간 절연막을 형성하는 것, 층간 절연막의 상부면 및 리세스부의 내부면에 원자층 증착 공정으로 웨팅막을 형성하는 것, 플라즈마 처리 공정을 수행하여 층간 절연막의 상부면에 형성된 웨팅막을 제거하는 것, 그리고 웨팅막 상에 금속 배선을 형성하는 것을 포함한다. 웨팅막은 리세스부의 내부면에만 형성된다. 이에 따라, 금속 배선이 공형한 웨팅막에 선택적으로 형성됨으로써, 높은 종횡비를 갖는 리세스부의 안정적인 매립에 적용할 수 있는 반도체 소자의 금속 배선 형성 방법을 제공할 수 있다.
루데늄, 배선, 원자층 증착, 플라즈마, 웨팅막

Description

반도체 소자의 금속 배선 형성 방법{Method of Fabricating Metal Line of Semiconductor Device}
도 1a 내지 도 1g는 본 발명의 실시예에 따른 반도체 소자의 금속 배선 형성을 위한 웨팅막을 형성하는 공정을 설명하기 위한 공정 단면도들;
도 2a 내지 도 2d는 본 발명의 실시예에 따른 웨팅막을 사용하여 알루미늄 배선을 형성하는 방법을 설명하기 위한 공정 단면도들;
도 3a 내지 도 3d는 본 발명의 실시예에 따른 웨팅막을 사용하여 구리 배선을 형성하는 방법을 설명하기 위한 공정 단면도들.
본 발명은 반도체 소자의 제조 방법에 관한 것으로, 더 구체적으로 반도체 소자의 금속 배선 형성 방법에 관한 것이다.
반도체 소자가 고밀도화 및 고집적화됨에 따라, 다층 배선 구조의 금속 배선을 가지는 회로 구성이 필수적으로 요구된다. 금속 배선은 전기적인 신호를 전송하는 역할을 하므로, 전기적인 저항이 낮아야함은 물론 경제적이고 신뢰성이 높아야한다. 이러한 조건들을 충족시킬 수 있는 적합한 금속 배선 물질로 알루미늄(Al)이 널리 사용되고 있다.
회로의 선폭이 좁아짐에 따라, 알루미늄 배선을 위한 공정으로 종래의 기술을 적용하기에는 기술적 한계가 있다. 이에 따라, 하부의 도전 패턴과 상부의 금속 배선과의 접속부인 콘택 홀(contact hole), 또는 하부의 금속 배선과 상부의 금속 배선과의 접속부인 비아 홀(via hole)의 내부를 금속 물질로 완전히 매립하는 기술이 이들 사이의 전기적 접속을 가능하게 하기 위하여 매우 중요한 기술로 강조되고 있다.
콘택 홀 또는 비아 홀 등과 같은 연결공(connecting hole)을 금속 물질로 매립하는 데 있어서, 더욱 우수한 전기적 특성 및 더욱 완벽한 매립 특성을 얻기 위한 다양한 공정 기술이 개발되고 있다. 매우 좁은 회로의 선폭을 갖는 반도체 소자의 금속 배선을 형성하기 위한 증착 공정에서는 스퍼터링(sputtering) 공정과 같은 물리적 기상 증착(Physical Vapor Deposition : PVD) 방식에만 의존하는 것은 부적절한 문제점이 있다. 이러한 문제점을 극복하기 위하여, 물리적 기상 증착 방식에 비하여 도포(step coverage) 특성이 우수한 화학적 기상 증착(Chemical Vapor Deposition : CVD) 방식을 이용하여 금속 배선을 형성하는 공정에 관한 다양한 연구가 진행되어 왔다. 하지만, 물리적 기상 증착 또는 화학적 기상 증착 방식은 증착 표면의 거칠기(roughness) 및 연결공의 상부 입구에서 발생하는 오우버행(overhang)에 의해 공동(void)이 발생하는 문제점을 갖는다.
이에 따라, 금속 배선 하지막의 선택비를 개선하여 연결공의 내부에만 선택적으로 시드(seed) 금속막을 화학적 기상 증착 방식에 의해 미리 형성한 후, 연결 공을 포함하는 전면에 물리적 기상 증착 방식으로 금속막을 증착하는 선택적인 금속 증착(Preferential Metal Deprsition :PMD) 공정이 연구되고 있다. 선택적인 금속 증착 공정에서는, 연결공의 내부에만 선택적으로 시드 금속막을 미리 형성하기 위하여, 연결공을 한정하는 층간 절연막의 상부면에 금속 증착 방지막(Anti-Nucleation Layer : ANL)을 형성한다. 이에 따라, 연결공의 내부에만 시드 금속막이 화학적 기상 증착 방식으로 형성된다.
이러한 선택적인 금속 증착 공정은 산화성이 우수한 물질을 물리적 기상 증착 또는 도포 특성이 나쁜 화학적 기상 증착 방식으로 증착한 후, 대기 노출 또는 플라즈마로 산화시켜 금속 증착 방지막을 형성한다. 이어서, 연결공의 내부에만 선택적으로 시드 금속막을 형성한 후, 추후 공정으로 금속막을 채워 금속 배선을 형성한다. 그러나 이러한 공정은 금속 증착 방지막을 형성하는 공정과 연결공에 금속 배선을 매립하는 공정이 분리되어 있다. 이에 따라, 서로 다른 시스템(system)에 의해 공정이 진행되기 때문에, 공정 수율이 저하되는 문제점이 있다. 또한, 질소(N2) 플라즈마 공정으로 연결공의 외부 영역에는 금속 증착을 억제하면서, 연결공의 내부에만 금속을 채우는 공정의 경우에는 연결공의 자연 산화막에 의한 계면 저항(surface resistance)이 개선되지 않아 신뢰성이 저하되는 문제점이 있다. 이에 더하여, 질소 플라즈마 공정으로 인해 질화된 연결공에서 저항이 증가되는 문제점이 있다.
본 발명이 이루고자 하는 기술적 과제는 높은 종횡비를 갖는 연결공의 안정적인 매립에 적용할 수 있는 반도체 소자의 금속 배선 형성 방법을 제공하는 데 있다.
상기한 기술적 과제를 달성하기 위하여, 본 발명은 반도체 소자의 금속 배선 형성 방법을 제공한다. 이 방법에 따르면, 반도체 기판 상에 리세스부를 갖는 층간 절연막을 형성하는 것, 층간 절연막의 상부면 및 리세스부의 내부면에 원자층 증착 공정으로 웨팅막을 형성하는 것, 플라즈마 처리 공정을 수행하여 층간 절연막의 상부면에 형성된 웨팅막을 제거하는 것, 그리고 웨팅막 상에 금속 배선을 형성하는 것을 포함한다. 웨팅막은 리세스부의 내부면에만 형성될 수 있다.
리세스부는 반도체 기판 상에 형성된 하부 도전 패턴의 소정 표면을 노출할 수 있다.
웨팅막은 루테늄, 오스뮴, 질화 티타늄, 티타늄, 질화 탄탈륨 및 탄탈륨 중에서 선택된 하나로 형성될 수 있다.
플라즈마 처리 공정은 산소 또는 오존을 포함하는 가스를 사용할 수 있다.
웨팅막을 제거하는 것은 플라즈마 처리 공정에 의해 층간 절연막 상부면의 웨팅막을 금속 증착 방지막으로 변환하는 것을 포함할 수 있다. 금속 증착 방지막은 산화 웨팅막일 수 있다.
웨팅막을 형성하는 것과 층간 절연막의 상부면에 형성된 웨팅막을 제거하는 것을 포함하는 공정을 반복적으로 수행할 수 있다.
금속 배선을 형성하는 것은 웨팅막 상에 시드 알루미늄막을 선택적으로 형성하는 것, 리세스부를 포함하는 반도체 기판을 덮는 알루미늄막을 형성하는 것, 그리고 알루미늄막을 평탄화하여 알루미늄 배선을 형성하는 것을 포함할 수 있다.
시드 알루미늄막을 선택적으로 형성하는 것은 화학적 기상 증착 공정을 포함할 수 있다. 시드 알루미늄막을 형성하기 위한 전구체는 MPA, DMAH, DMEAA 및 AlH2(BH4)N(CH3)3 중에서 선택된 하나일 수 있다.
알루미늄막을 형성하는 것은 물리적 기상 증착과 물리적 기상 증착에 이은 리플로우 공정, 및 고온 물리적 기상 증착 공정 중에서 선택된 하나의 공정을 포함할 수 있다.
금속 배선을 형성하는 것은 리세스부를 포함하는 반도체 기판을 덮는 구리막을 형성하는 것 그리고 구리막을 평탄화하여 구리 배선을 형성하는 것을 포함할 수 있다.
구리막을 형성하는 것은 화학적 기상 증착 공정, 전기 증착 공정 및 무전해 증착 공정 중에서 선택된 하나의 공정을 포함할 수 있다.
웨팅막을 형성하기 전에, 층간 절연막의 상부면 및 리세스부의 내부면으로 이루어진 프로파일을 따라 확산 방지막을 형성하는 것을 더 포함할 수 있다.
이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나 본 발명은 여기서 설명되는 실시예에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저 하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다. 또한, 바람직한 실시예에 따른 것이기 때문에, 설명의 순서에 따라 제시되는 참조 부호는 그 순서에 반드시 한정되지는 않는다. 도면들에 있어서, 막 및 영역들의 두께는 명확성을 기하기 위하여 과장된 것이다. 또한, 막이 다른 막 또는 기판 상에 있다고 언급되는 경우에 그것은 다른 막 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제 3의 막이 개재될 수도 있다.
도 1a 내지 도 1g는 본 발명의 실시예에 따른 반도체 소자의 금속 배선 형성을 위한 웨팅막을 형성하는 공정을 설명하기 위한 공정 단면도들이다.
도 1a을 참조하면, 소정의 하부 도전 패턴(112)이 형성된 반도체 기판(110) 상에 리세스부(116)를 갖는 층간 절연막(114)을 형성한다. 리세스부(116)는 하부 도전 패턴(112)의 소정 표면을 노출할 수 있다. 하부 도전 패턴(112)은 소오스/드레인 영역, 게이트 전극 또는 하부 배선일 수 있다. 층간 절연막(114)은 산화 실리콘막(SiO2) 등의 절연 물질로 형성될 수 있다. 리세스부(116)는 콘택 홀 또는 비아 홀 등과 같은 연결공 및 다마신(damascene) 금속 배선을 위한 트렌치(trench)를 포함할 수 있다.
전구체(precursor, 120)를 제공하여 층간 절연막(114)의 상부면 및 리세스부(116)의 내부면에 전구체(120)를 흡착시킨다. 전구체(120)는 루테늄(Ru) 전구체, 오스뮴(Os) 전구체, 질화 티타늄(TiN) 전구체, 티타늄(Ti) 전구체, 질화 탄탈 륨(TaN) 전구체 및 탄탈륨(Ta) 전구체 중에서 선택된 하나일 수 있다. 바람직하게는 전구체(120)는 루테늄 전구체 또는 오스뮴 전구체를 사용할 수 있다. 이는 질화 티타늄 전구체, 티타늄 전구체, 질화 탄탈륨 전구체 및 탄탈륨 전구체를 사용하여 형성된 웨팅막(wetting layer)에 비해 낮은 저항, 양호한 도포 특성 및 우수한 배리어 특성이 있기 때문이다. 루테늄 전구체는 Ru(EtCp)2, RuCp(BuCp), Ru(iPrCp)2, Ru(OD)3, Ru-Carbonyl 중에서 선택된 하나일 수 있다. 오스뮴 전구체는 Os(EtCp)2, OsCp(BuCp), Os(iPrCp)2, Os(OD)3, Os-Carbonyl 중에서 선택된 하나일 수 있다. 여기서 Et, Cp, Bu, iPr 및 OD는 각각 ethyl, cyclopentadienyl, butyl, i-propyl 및 octanedionate을 표시한 것이다.
도 1b를 참조하면, 미흡착 전구체를 제거한다. 미흡착 전구체는 퍼지(purge) 방식을 사용하여 제거할 수 있다.
도 1c를 참조하면, 반응체(reactant, 122)를 제공하여 층간 절연막(114)의 상부면 및 리세스부(116)의 내부면에 흡착된 전구체(120)와 반응시킨다. 반응체(122)는 수소(H2), 산소(O2) 및 오존(O3) 중에서 선택된 적어도 하나를 포함할 수 있다. 전구체(120)와 반응체(122)의 반응으로 인해, 층간 절연막(114)의 상부면 및 리세스부(116)의 내부면에 흡착된 반응물(124)이 형성될 수 있다. 이에 따라, 층간 절연막(114)의 상부면 및 리세스부(116)의 내부면에 반응물(124)이 단원자층으로 형성될 수 있다.
도 1d를 참조하면, 전구체(120)와 반응체(122)의 반응 부산물 및 미반응 반 응체를 제거한다. 반응 부산물 및 미반응 반응체(122)는 퍼지 방식을 사용하여 제거할 수 있다.
도 1a 내지 도 1d의 공정은 원자층 증착(Atomic Layer Deposition : ALD) 공정일 수 있다. 이를 반복적으로 수행함으로써, 웨팅막(wetting layer) 또는 배리어막을 형성할 수 있다. 이러한 공정은 플라즈마 강화 원자층 증착(Plasma Enhanced ALD : PEALD) 방식을 사용할 수도 있다.
도 1e 및 도 1f를 참조하면, 플라즈마 처리 공정을 수행하여 층간 절연막(114)의 상부면에 형성된 단원자층을 제거한다. 플라즈마 처리 공정은 산소 또는 오존을 포함하는 가스(126)를 사용할 수 있으며, 제거 효율을 높이기 위해 아르곤(Ar)이 첨가될 수 있다. 플라즈마 처리 공정은 직접(direct) 플라즈마 방식 및 원격(remote) 플라즈마 방식 중에서 선택된 하나를 포함할 수 있다.
단원자층을 제거하는 것은 플라즈마 처리 공정에 의해 층간 절연막(114) 상부면의 단원자층을 산화물(130)로 변환하는 것을 포함할 수 있다. 이는 루테늄 및 오스뮴으로 형성된 단원자층은 플라즈마 처리 공정에 의해 제거되는 것이 우세하기 때문이다. 이와는 달리, 질화 티타늄, 티타늄, 질화 탄탈륨 및 탄탈륨으로 형성된 단원자층은 플라즈마 처리 공정에 의해 거의 제거되지 않고, 반응에 의해 변환되는 것이 우세하기 때문이다. 바람직하게는 루테늄으로 형성된 단원자층은 산소를 포함하는 가스(126)를 사용하는 플라즈마 처리 공정을 사용하여 아래 반응식 1에 의해 기체인 과산화 루테늄(RuO4(g))으로 제거하거나, 고체인 산화 루테늄(RuO2(s))으로 변환시킬 수 있다.
Figure 112006046775144-PAT00001
과산화 루테늄을 포함하는 플라즈마 반응 부산물(128)을 제거한다. 과산화 루테늄을 포함하는 플라즈마 반응 부산물(128)은 퍼지 방식을 사용하여 제거할 수 있다.
도 1g를 참조하면, 도 1a 내지 도 1d의 원자층 증착 공정과 도 1e 및 도 1f의 플라즈마 처리 공정을 반복적으로 수행한다. 이에 따라, 리세스부(116)의 내부면에만 공형(conformal)한 웨팅막(124a)을 형성할 수 있다. 또한, 층간 절연막(114)의 상부면은 노출되거나 웨팅막(124a)보다 얇은 두께를 갖는 금속 증착 방지막(130a)이 형성될 수 있다. 금속 증착 방지막(130a)은 웨팅막(124a)이 산화된 산화 웨팅막일 수 있다.
층간 절연막(114)의 상부면에 형성된 금속 증착 방지막(130a)의 두께는 도 1a 내지 도 1d의 원자층 증착 공정의 횟수, 도 1e 및 도 1f의 플라즈마 처리 공정의 횟수, 및 플라즈마 처리 공정에서의 산소 또는 오존의 가스 분압(partial pressure), 가스 유량률(flow rate) 및 플라즈마 처리 시간 등의 조건에 의해 조절될 수 있다.
도 2a 내지 도 2d는 본 발명의 실시예에 따른 웨팅막을 사용하여 알루미늄 배선을 형성하는 방법을 설명하기 위한 공정 단면도들이다. 앞서 도 1a 내지 도 1g에서 설명한 웨팅막을 형성하는 유사한 공정에 대한 설명은 생략되거나 간략하게 서술된다.
도 2a를 참조하면, 소정의 하부 도전 패턴(212)이 형성된 반도체 기판(210) 상에 리세스부(216)를 갖는 층간 절연막(214)을 형성한다. 원자층 증착 공정(도 1a 내지 도 1d 참조)과 플라즈마 처리 공정(도 1e 및 도 1f 참조)을 반복적으로 수행하여 층간 절연막(214)의 상부면 및 리세스부(216)의 내부면에 각각 금속 증착 방지막(230a) 및 웨팅막(224a)을 형성한다.
도 2b를 참조하면, 웨팅막(224a) 상에 시드 알루미늄막(232s)을 선택적으로 형성한다. 시드 알루미늄막(232s)은 화학적 기상 증착 방식을 사용하여 형성할 수 있다. 시드 알루미늄막(232s)은 MPA, DMAH, DMEAA 및 AlH2(BH4)N(CH3)3 중에서 선택된 하나의 전구체를 사용하여 형성할 수 있다. 여기서 MPA, DMAH 및 DMEAA는 각각 MethylPyrrolidine Alene, DiMethylAluminum Hydride 및 DiMethylEthylAluminum Alene을 표시한 것이다. 또한, H, B, N 및 C는 각각 수소, 붕소, 질소 및 탄소 원자이다.
층간 절연막(214)의 상부면은 별도의 금속 증착 방지 공정이 수행되지 않아도, 금속 증착이 억제될 수 있다. 즉, 리세스부(216)의 내부면에만 웨팅막(224a)을 형성하는 공정(도 1a 내지 도 1f)으로 인해, 층간 절연막(214)의 상부면에는 금속 증착 방지막(230a)이 형성될 수 있다. 이에 따라, 리세스부(216)의 내부면에만 형성된 웨팅막(224a) 상에 시드 알루미늄막(232s)이 선택적으로 증착될 수 있다. 이러한 시드 알루미늄막(232s)의 선택적인 증착은 리세스부(216)의 상부 입구에서 주로 발생하는 오우버행을 방지할 수 있다. 이에 따라, 추후 공정인 알루미늄막을 형성하는 공정에서 공동이 발생하는 것을 방지할 수 있다.
도 2c 및 도 2d를 참조하면, 시드 알루미늄막(232s)을 포함하는 반도체 기판(110)을 덮는 알루미늄막(232l)을 형성한다. 알루미늄막(232l)은 물리적 기상 증착과 물리적 기상 증착에 이은 리플로우(reflow) 공정, 및 고온 물리적 기상 증착(High Temperture PVD) 공정 중에서 선택된 하나의 공정으로 형성될 수 있다.
알루미늄막(232l)을 평탄화하여 알루미늄 배선(232ml)을 형성한다. 알루미늄막(232l)을 평탄화하는 것은 화학적 기계적 연마(Chemical Mechanical Polishing : CMP) 방식을 사용할 수 있다.
도 3a 내지 도 3d는 본 발명의 실시예에 따른 웨팅막을 사용하여 구리 배선을 형성하는 방법을 설명하기 위한 공정 단면도들이다. 앞서 도 1a 내지 도 1g에서 설명한 웨팅막을 형성하는 유사한 공정에 대한 설명은 생략되거나 간략하게 서술된다.
도 3a를 참조하면, 소정의 하부 도전 패턴(312)이 형성된 반도체 기판(310) 상에 리세스부(316)를 갖는 층간 절연막(314)을 형성한다. 원자층 증착 공정(도 1a 내지 도 1d 참조)과 플라즈마 처리 공정(도 1e 및 도 1f 참조)을 반복적으로 수행하여 층간 절연막(314)의 상부면 및 리세스부(316)의 내부면에 각각 금속 증착 방 지막(330a) 및 웨팅막(324a)을 형성한다.
앞서 도 2a 내지 도 2d에서 설명한 알루미늄 배선과는 달리, 구리(Cu) 배선을 형성하는 공정에서는, 웨팅막(324a)을 형성하기 전에, 층간 절연막(314)의 상부면 및 리세스부(316)의 내부면으로 이루어진 프로파일(profile)을 따라 형성된 확산 방지막(318)을 더 필요로 한다. 이는 구리가 알루미늄에 비해 쉽게 확산하는 특성이 있기 때문이다. 확산 방지막(318)은 티타늄막/질화 티타늄막 및 탄탈륨막/질화 탄탈막 등과 같은 이중막 중에서 선택된 하나일 수 있다.
도 3b를 참조하면, 웨팅막(324a) 상에 구리막(332)을 선택적으로 형성한다. 구리막(332)은 화학적 기상 증착, 전기 증착(electrodeposition) 및 무전해 증착(electroless deposition) 방식 중에서 선택된 하나의 방식을 사용하여 형성할 수 있다. 바람직하게는 구리막(332)은 무전해 증착 방식을 사용하여 형성할 수 있다. 구리막(332)은 Cu(HFAC)TMVS, 및 Cu(HFAC)2 중에서 선택된 하나의 전구체를 사용하여 형성할 수 있다. 여기서 HFAC 및 TMVS는 각각 HexaFluoroacetylAcetonate 및 TriMethylVinylSilane을 표시한 것이다.
층간 절연막(314)의 상부면은 별도의 금속 증착 방지 공정이 수행되지 않아도, 금속 증착이 억제될 수 있다. 즉, 리세스부(316)의 내부면에만 웨팅막(324a)을 형성하는 공정(도 1a 내지 도 1f)으로 인해, 층간 절연막(314)의 상부면에는 금속 증착 방지막(330a)이 형성될 수 있다. 이에 따라, 리세스부(316)의 내부면에만 형성된 웨팅막(324a) 상에 구리막(332)이 선택적으로 증착될 수 있다. 이러한 구리 막(332)의 선택적인 증착은 리세스부(316)의 상부 입구에서 주로 발생하는 오우버행을 방지할 수 있다. 이에 따라, 계속적으로 구리막(332)을 형성하는 공정에서 공동이 발생하는 것을 방지할 수 있다.
도 3c 및 도 3d를 참조하면, 구리막(332)을 계속적으로 형성하여 리세스부(316)를 포함하는 반도체 기판(110)을 덮는 구리막(332)을 형성한다. 구리막(332)은 리세스부(316)의 내부면에만 형성된 웨팅막(324a) 상에서만 선택적으로 성장하면서, 리세스부(316)의 하부에서 상부로 채워지는 형태(bottom-up type)로 증착될 수 있다.
구리막(332)을 평탄화하여 구리 배선(332ml)을 형성한다. 구리막(332)을 평탄화하는 것은 화학적 기계적 연마 방식을 사용할 수 있다.
상기한 본 발명의 실시예에 따른 방법으로 반도체 소자의 금속 배선을 형성함으로써, 금속 배선이 공형한 웨팅막에 선택적으로 형성될 수 있다. 이에 따라, 높은 종횡비(aspect ratio)를 갖는 리세스부가 안정적으로 매립될 수 있는 반도체 소자의 금속 배선 형성 방법을 제공할 수 있다.
상술한 바와 같이, 본 발명에 따르면 금속 배선이 공형한 웨팅막에 선택적으로 형성될 수 있다. 이에 따라, 높은 종횡비를 갖는 리세스부가 안정적으로 매립됨으로써, 안정적인 반도체 소자를 제조할 수 있는 금속 배선 형성 방법을 제공할 수 있다.

Claims (14)

  1. 반도체 기판 상에 리세스부를 갖는 층간 절연막을 형성하고;
    상기 층간 절연막의 상부면 및 상기 리세스부의 내부면에 원자층 증착 공정으로 웨팅막을 형성하고;
    플라즈마 처리 공정을 수행하여, 상기 층간 절연막의 상부면에 형성된 상기 웨팅막을 제거하고; 그리고
    상기 웨팅막 상에 금속 배선을 형성하는 것을 포함하되, 상기 웨팅막은 상기 리세스부의 내부면에만 형성되는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  2. 제 1항에 있어서,
    상기 리세스부는 상기 반도체 기판 상에 형성된 하부 도전 패턴의 소정 표면을 노출하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  3. 제 1항에 있어서,
    상기 웨팅막은 루테늄, 오스뮴, 질화 티타늄, 티타늄, 질화 탄탈륨 및 탄탈륨 중에서 선택된 하나로 형성되는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  4. 제 1항에 있어서,
    상기 플라즈마 처리 공정은 산소 또는 오존을 포함하는 가스를 사용하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  5. 제 1항에 있어서,
    상기 웨팅막을 제거하는 것은,
    상기 플라즈마 처리 공정에 의해 상기 층간 절연막 상부면의 상기 웨팅막을 금속 증착 방지막으로 변환하는 것을 포함하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  6. 제 5항에 있어서,
    상기 금속 증착 방지막은 산화 웨팅막인 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  7. 제 1항에 있어서,
    상기 웨팅막을 형성하는 것과 상기 층간 절연막의 상부면에 형성된 상기 웨팅막을 제거하는 것을 포함하는 공정을 반복적으로 수행하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  8. 제 1항에 있어서,
    상기 금속 배선을 형성하는 것은,
    상기 웨팅막 상에 시드 알루미늄막을 선택적으로 형성하고;
    상기 리세스부를 포함하는 상기 반도체 기판을 덮는 알루미늄막을 형성하고; 그리고
    상기 알루미늄막을 평탄화하여, 알루미늄 배선을 형성하는 것을 포함하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  9. 제 8항에 있어서,
    상기 시드 알루미늄막을 선택적으로 형성하는 것은 화학적 기상 증착 공정을 포함하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  10. 제 9항에 있어서,
    상기 시드 알루미늄막을 형성하기 위한 전구체는 MPA, DMAH, DMEAA 및 AlH2(BH4)N(CH3)3 중에서 선택된 하나인 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  11. 제 8항에 있어서,
    상기 알루미늄막을 형성하는 것은 물리적 기상 증착과 상기 물리적 기상 증착에 이은 리플로우 공정, 및 고온 물리적 기상 증착 공정 중에서 선택된 하나의 공정을 포함하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  12. 제 1항에 있어서,
    상기 금속 배선을 형성하는 것은,
    상기 리세스부를 포함하는 상기 반도체 기판을 덮는 구리막을 형성하고; 그리고
    상기 구리막을 평탄화하여, 구리 배선을 형성하는 것을 포함하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  13. 제 12항에 있어서,
    상기 구리막을 형성하는 것은 화학적 기상 증착 공정, 전기 증착 공정 및 무전해 증착 공정 중에서 선택된 하나의 공정을 포함하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
  14. 제 1항에 있어서,
    상기 웨팅막을 형성하기 전,
    상기 층간 절연막의 상부면 및 상기 리세스부의 내부면으로 이루어진 프로파일을 따라 확산 방지막을 형성하는 것을 더 포함하는 것을 특징으로 하는 반도체 소자의 금속 배선 형성 방법.
KR1020060059479A 2006-06-29 2006-06-29 반도체 소자의 금속 배선 형성 방법 KR20080001254A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060059479A KR20080001254A (ko) 2006-06-29 2006-06-29 반도체 소자의 금속 배선 형성 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060059479A KR20080001254A (ko) 2006-06-29 2006-06-29 반도체 소자의 금속 배선 형성 방법

Publications (1)

Publication Number Publication Date
KR20080001254A true KR20080001254A (ko) 2008-01-03

Family

ID=39213300

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060059479A KR20080001254A (ko) 2006-06-29 2006-06-29 반도체 소자의 금속 배선 형성 방법

Country Status (1)

Country Link
KR (1) KR20080001254A (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101008002B1 (ko) * 2010-09-14 2011-01-14 시너스 테크놀리지, 인코포레이티드 기판 구조의 형성 방법 및 이를 포함하는 소자의 제조 방법
KR101008000B1 (ko) * 2008-08-13 2011-01-14 시너스 테크놀리지, 인코포레이티드 기판 구조의 형성 방법 및 이를 포함하는 소자의 제조 방법
US8263502B2 (en) 2008-08-13 2012-09-11 Synos Technology, Inc. Forming substrate structure by filling recesses with deposition material
WO2020077112A1 (en) * 2018-10-10 2020-04-16 Tokyo Electron Limited Method for filling recessed features in semiconductor devices with a low-resistivity metal
WO2021080690A1 (en) * 2019-10-21 2021-04-29 Tokyo Electron Limited Methods for etching metal films using plasma processing

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101008000B1 (ko) * 2008-08-13 2011-01-14 시너스 테크놀리지, 인코포레이티드 기판 구조의 형성 방법 및 이를 포함하는 소자의 제조 방법
US8263502B2 (en) 2008-08-13 2012-09-11 Synos Technology, Inc. Forming substrate structure by filling recesses with deposition material
KR101008002B1 (ko) * 2010-09-14 2011-01-14 시너스 테크놀리지, 인코포레이티드 기판 구조의 형성 방법 및 이를 포함하는 소자의 제조 방법
WO2020077112A1 (en) * 2018-10-10 2020-04-16 Tokyo Electron Limited Method for filling recessed features in semiconductor devices with a low-resistivity metal
US11024535B2 (en) 2018-10-10 2021-06-01 Tokyo Electron Limited Method for filling recessed features in semiconductor devices with a low-resistivity metal
US11621190B2 (en) 2018-10-10 2023-04-04 Tokyo Electron Limited Method for filling recessed features in semiconductor devices with a low-resistivity metal
WO2021080690A1 (en) * 2019-10-21 2021-04-29 Tokyo Electron Limited Methods for etching metal films using plasma processing

Similar Documents

Publication Publication Date Title
US20220115505A1 (en) Copper-filled trench contact for transistor performance improvement
JP5057355B2 (ja) 集積回路内での金属層の選択的形成
US7648899B1 (en) Interfacial layers for electromigration resistance improvement in damascene interconnects
US20080242088A1 (en) Method of forming low resistivity copper film structures
US7884012B2 (en) Void-free copper filling of recessed features for semiconductor devices
US10784157B2 (en) Doped tantalum nitride for copper barrier applications
US8372739B2 (en) Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
TWI694501B (zh) 防止銅擴散的介電/金屬阻障集成
US20080237860A1 (en) Interconnect structures containing a ruthenium barrier film and method of forming
US20020132469A1 (en) Method for forming metal wiring layer
KR20080001254A (ko) 반도체 소자의 금속 배선 형성 방법
JP4804725B2 (ja) 半導体装置の導電性構造体の形成方法
KR100667905B1 (ko) 반도체 소자의 구리 금속배선 형성방법
US8159069B2 (en) Metal line of semiconductor device without production of high resistance compound due to metal diffusion and method for forming the same
TW543101B (en) Method of achieving high adhesion of CVD copper thin films on TaN substrates
KR100738211B1 (ko) 반도체 장치의 박막 및 금속 배선 형성 방법
KR20080091989A (ko) 반도체 소자의 배선 구조체 형성방법 및 이에 의해 제조된배선 구조체
KR100578213B1 (ko) 비정질 3상 확산장벽층을 이용한 반도체장치의 제조 방법
KR100571387B1 (ko) 반도체 소자의 구리 배선 제조 방법
KR20080055159A (ko) 반도체 소자의 제조방법
JP2009130211A (ja) 半導体装置及びその製造方法
KR100640956B1 (ko) 확산 방지막의 형성방법
KR101096444B1 (ko) 반도체 소자의 금속배선 형성방법
KR100891524B1 (ko) 반도체 소자의 제조방법
KR20050009653A (ko) 반도체 소자의 금속배선 형성방법

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination